flipdot/reverseengeneering/annax37623/annax37623.kicad_sch

11922 lines
490 KiB
Plaintext

(kicad_sch (version 20211123) (generator eeschema)
(uuid d0a0deb1-4f0f-4ede-b730-2c6d67cb9618)
(paper "A1")
(lib_symbols
(symbol "4xxx:4013" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at -7.62 8.89 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "4013" (id 1) (at -7.62 -8.89 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.onsemi.com/pub/Collateral/MC14013B-D.PDF" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_locked" "" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)))
)
(property "ki_keywords" "CMOS DFF" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Dual D FlipFlop, Set & reset" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "DIP*W7.62mm* SOIC*3.9x9.9mm*P1.27mm* TSSOP*4.4x5mm*P0.65mm*" (id 7) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "4013_1_1"
(rectangle (start -5.08 5.08) (end 5.08 -5.08)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type background))
)
(pin output line (at 7.62 2.54 180) (length 2.54)
(name "Q" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin output line (at 7.62 -2.54 180) (length 2.54)
(name "~{Q}" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin input clock (at -7.62 0 0) (length 2.54)
(name "C" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin input line (at 0 -7.62 90) (length 2.54)
(name "R" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin input line (at -7.62 2.54 0) (length 2.54)
(name "D" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin input line (at 0 7.62 270) (length 2.54)
(name "S" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
)
(symbol "4013_2_1"
(rectangle (start -5.08 5.08) (end 5.08 -5.08)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type background))
)
(pin input line (at 0 -7.62 90) (length 2.54)
(name "R" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin input clock (at -7.62 0 0) (length 2.54)
(name "C" (effects (font (size 1.27 1.27))))
(number "11" (effects (font (size 1.27 1.27))))
)
(pin output line (at 7.62 -2.54 180) (length 2.54)
(name "~{Q}" (effects (font (size 1.27 1.27))))
(number "12" (effects (font (size 1.27 1.27))))
)
(pin output line (at 7.62 2.54 180) (length 2.54)
(name "Q" (effects (font (size 1.27 1.27))))
(number "13" (effects (font (size 1.27 1.27))))
)
(pin input line (at 0 7.62 270) (length 2.54)
(name "S" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
(pin input line (at -7.62 2.54 0) (length 2.54)
(name "D" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
)
(symbol "4013_3_0"
(pin power_in line (at 0 10.16 270) (length 2.54)
(name "VDD" (effects (font (size 1.27 1.27))))
(number "14" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 0 -10.16 90) (length 2.54)
(name "VSS" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
)
(symbol "4013_3_1"
(rectangle (start -5.08 7.62) (end 5.08 -7.62)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type background))
)
)
)
(symbol "4xxx:4049" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at 0 1.27 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "4049" (id 1) (at 0 -1.27 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.intersil.com/content/dam/intersil/documents/cd40/cd4049ubms.pdf" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_locked" "" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)))
)
(property "ki_keywords" "CMOS BUFFER" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Hex Buffer Inverter" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "DIP?16*" (id 7) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "4049_1_0"
(polyline
(pts
(xy -3.81 3.81)
(xy -3.81 -3.81)
(xy 3.81 0)
(xy -3.81 3.81)
)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type background))
)
(pin output inverted (at 7.62 0 180) (length 3.81)
(name "~" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin input line (at -7.62 0 0) (length 3.81)
(name "~" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
)
(symbol "4049_2_0"
(polyline
(pts
(xy -3.81 3.81)
(xy -3.81 -3.81)
(xy 3.81 0)
(xy -3.81 3.81)
)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type background))
)
(pin output inverted (at 7.62 0 180) (length 3.81)
(name "~" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin input line (at -7.62 0 0) (length 3.81)
(name "~" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
)
(symbol "4049_3_0"
(polyline
(pts
(xy -3.81 3.81)
(xy -3.81 -3.81)
(xy 3.81 0)
(xy -3.81 3.81)
)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type background))
)
(pin output inverted (at 7.62 0 180) (length 3.81)
(name "~" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin input line (at -7.62 0 0) (length 3.81)
(name "~" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
)
(symbol "4049_4_0"
(polyline
(pts
(xy -3.81 3.81)
(xy -3.81 -3.81)
(xy 3.81 0)
(xy -3.81 3.81)
)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type background))
)
(pin output inverted (at 7.62 0 180) (length 3.81)
(name "~" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin input line (at -7.62 0 0) (length 3.81)
(name "~" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
)
(symbol "4049_5_0"
(polyline
(pts
(xy -3.81 3.81)
(xy -3.81 -3.81)
(xy 3.81 0)
(xy -3.81 3.81)
)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type background))
)
(pin input line (at -7.62 0 0) (length 3.81)
(name "~" (effects (font (size 1.27 1.27))))
(number "11" (effects (font (size 1.27 1.27))))
)
(pin output inverted (at 7.62 0 180) (length 3.81)
(name "~" (effects (font (size 1.27 1.27))))
(number "12" (effects (font (size 1.27 1.27))))
)
)
(symbol "4049_6_0"
(polyline
(pts
(xy -3.81 3.81)
(xy -3.81 -3.81)
(xy 3.81 0)
(xy -3.81 3.81)
)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type background))
)
(pin input line (at -7.62 0 0) (length 3.81)
(name "~" (effects (font (size 1.27 1.27))))
(number "14" (effects (font (size 1.27 1.27))))
)
(pin output inverted (at 7.62 0 180) (length 3.81)
(name "~" (effects (font (size 1.27 1.27))))
(number "15" (effects (font (size 1.27 1.27))))
)
)
(symbol "4049_7_0"
(pin power_in line (at 0 12.7 270) (length 5.08)
(name "VCC" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 0 -12.7 90) (length 5.08)
(name "VSS" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
)
(symbol "4049_7_1"
(rectangle (start -5.08 7.62) (end 5.08 -7.62)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type background))
)
)
)
(symbol "4xxx_IEEE:4015" (pin_names (offset 0.762)) (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at 5.08 7.62 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "4015" (id 1) (at 6.35 -7.62 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "4015_0_1"
(polyline
(pts
(xy -4.064 2.032)
(xy -3.048 2.032)
(xy -2.032 5.08)
(xy -1.524 5.08)
(xy -2.54 5.08)
(xy -3.556 2.032)
(xy -3.556 2.032)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 6.35 6.35) (end -6.35 -6.35)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(pin power_in line (at 0 6.35 270) (length 0) hide
(name "Vdd" (effects (font (size 1.016 1.016))))
(number "16" (effects (font (size 1.016 1.016))))
)
(pin power_in line (at 0 -6.35 90) (length 0) hide
(name "Vss" (effects (font (size 1.016 1.016))))
(number "8" (effects (font (size 1.016 1.016))))
)
)
(symbol "4015_1_1"
(pin output line (at 13.97 -3.81 180) (length 7.62)
(name "Q4" (effects (font (size 1.016 1.016))))
(number "10" (effects (font (size 1.016 1.016))))
)
(pin output line (at 13.97 -1.27 180) (length 7.62)
(name "Q3" (effects (font (size 1.016 1.016))))
(number "3" (effects (font (size 1.016 1.016))))
)
(pin output line (at 13.97 1.27 180) (length 7.62)
(name "Q2" (effects (font (size 1.016 1.016))))
(number "4" (effects (font (size 1.016 1.016))))
)
(pin output line (at 13.97 3.81 180) (length 7.62)
(name "Q1" (effects (font (size 1.016 1.016))))
(number "5" (effects (font (size 1.016 1.016))))
)
(pin input line (at -13.97 -3.81 0) (length 7.62)
(name "MR" (effects (font (size 1.016 1.016))))
(number "6" (effects (font (size 1.016 1.016))))
)
(pin input line (at -13.97 0 0) (length 7.62)
(name "D" (effects (font (size 1.016 1.016))))
(number "7" (effects (font (size 1.016 1.016))))
)
(pin input clock (at -13.97 3.81 0) (length 7.62)
(name "CP" (effects (font (size 1.016 1.016))))
(number "9" (effects (font (size 1.016 1.016))))
)
)
(symbol "4015_2_1"
(pin input clock (at -13.97 3.81 0) (length 7.62)
(name "CP" (effects (font (size 1.016 1.016))))
(number "1" (effects (font (size 1.016 1.016))))
)
(pin output line (at 13.97 -1.27 180) (length 7.62)
(name "Q3" (effects (font (size 1.016 1.016))))
(number "11" (effects (font (size 1.016 1.016))))
)
(pin output line (at 13.97 1.27 180) (length 7.62)
(name "Q2" (effects (font (size 1.016 1.016))))
(number "12" (effects (font (size 1.016 1.016))))
)
(pin output line (at 13.97 3.81 180) (length 7.62)
(name "Q1" (effects (font (size 1.016 1.016))))
(number "13" (effects (font (size 1.016 1.016))))
)
(pin input line (at -13.97 -3.81 0) (length 7.62)
(name "MR" (effects (font (size 1.016 1.016))))
(number "14" (effects (font (size 1.016 1.016))))
)
(pin input line (at -13.97 0 0) (length 7.62)
(name "D" (effects (font (size 1.016 1.016))))
(number "15" (effects (font (size 1.016 1.016))))
)
(pin output line (at 13.97 -3.81 180) (length 7.62)
(name "Q4" (effects (font (size 1.016 1.016))))
(number "2" (effects (font (size 1.016 1.016))))
)
)
)
(symbol "Connector:Conn_01x04_Male" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
(property "Reference" "J" (id 0) (at 0 5.08 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "Conn_01x04_Male" (id 1) (at 0 -7.62 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "connector" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Generic connector, single row, 01x04, script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "Connector*:*_1x??_*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "Conn_01x04_Male_1_1"
(polyline
(pts
(xy 1.27 -5.08)
(xy 0.8636 -5.08)
)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 1.27 -2.54)
(xy 0.8636 -2.54)
)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 1.27 0)
(xy 0.8636 0)
)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 1.27 2.54)
(xy 0.8636 2.54)
)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 0.8636 -4.953) (end 0 -5.207)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type outline))
)
(rectangle (start 0.8636 -2.413) (end 0 -2.667)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type outline))
)
(rectangle (start 0.8636 0.127) (end 0 -0.127)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type outline))
)
(rectangle (start 0.8636 2.667) (end 0 2.413)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type outline))
)
(pin passive line (at 5.08 2.54 180) (length 3.81)
(name "Pin_1" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 5.08 0 180) (length 3.81)
(name "Pin_2" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 5.08 -2.54 180) (length 3.81)
(name "Pin_3" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 5.08 -5.08 180) (length 3.81)
(name "Pin_4" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Connector_Generic:Conn_01x01" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
(property "Reference" "J" (id 0) (at 0 2.54 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "Conn_01x01" (id 1) (at 0 -2.54 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "connector" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Generic connector, single row, 01x01, script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "Connector*:*_1x??_*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "Conn_01x01_1_1"
(rectangle (start -1.27 0.127) (end 0 -0.127)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 1.27) (end 1.27 -1.27)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type background))
)
(pin passive line (at -5.08 0 0) (length 3.81)
(name "Pin_1" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Connector_Generic:Conn_01x32" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
(property "Reference" "J" (id 0) (at 0 40.64 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "Conn_01x32" (id 1) (at 0 -43.18 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "connector" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Generic connector, single row, 01x32, script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "Connector*:*_1x??_*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "Conn_01x32_1_1"
(rectangle (start -1.27 -40.513) (end 0 -40.767)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -37.973) (end 0 -38.227)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -35.433) (end 0 -35.687)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -32.893) (end 0 -33.147)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -30.353) (end 0 -30.607)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -27.813) (end 0 -28.067)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -25.273) (end 0 -25.527)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -22.733) (end 0 -22.987)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -20.193) (end 0 -20.447)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -17.653) (end 0 -17.907)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -15.113) (end 0 -15.367)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -12.573) (end 0 -12.827)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -10.033) (end 0 -10.287)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -7.493) (end 0 -7.747)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -4.953) (end 0 -5.207)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -2.413) (end 0 -2.667)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 0.127) (end 0 -0.127)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 2.667) (end 0 2.413)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 5.207) (end 0 4.953)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 7.747) (end 0 7.493)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 10.287) (end 0 10.033)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 12.827) (end 0 12.573)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 15.367) (end 0 15.113)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 17.907) (end 0 17.653)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 20.447) (end 0 20.193)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 22.987) (end 0 22.733)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 25.527) (end 0 25.273)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 28.067) (end 0 27.813)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 30.607) (end 0 30.353)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 33.147) (end 0 32.893)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 35.687) (end 0 35.433)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 38.227) (end 0 37.973)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 39.37) (end 1.27 -41.91)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type background))
)
(pin passive line (at -5.08 38.1 0) (length 3.81)
(name "Pin_1" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 15.24 0) (length 3.81)
(name "Pin_10" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 12.7 0) (length 3.81)
(name "Pin_11" (effects (font (size 1.27 1.27))))
(number "11" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 10.16 0) (length 3.81)
(name "Pin_12" (effects (font (size 1.27 1.27))))
(number "12" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 7.62 0) (length 3.81)
(name "Pin_13" (effects (font (size 1.27 1.27))))
(number "13" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 5.08 0) (length 3.81)
(name "Pin_14" (effects (font (size 1.27 1.27))))
(number "14" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 2.54 0) (length 3.81)
(name "Pin_15" (effects (font (size 1.27 1.27))))
(number "15" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 0 0) (length 3.81)
(name "Pin_16" (effects (font (size 1.27 1.27))))
(number "16" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -2.54 0) (length 3.81)
(name "Pin_17" (effects (font (size 1.27 1.27))))
(number "17" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -5.08 0) (length 3.81)
(name "Pin_18" (effects (font (size 1.27 1.27))))
(number "18" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -7.62 0) (length 3.81)
(name "Pin_19" (effects (font (size 1.27 1.27))))
(number "19" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 35.56 0) (length 3.81)
(name "Pin_2" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -10.16 0) (length 3.81)
(name "Pin_20" (effects (font (size 1.27 1.27))))
(number "20" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -12.7 0) (length 3.81)
(name "Pin_21" (effects (font (size 1.27 1.27))))
(number "21" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -15.24 0) (length 3.81)
(name "Pin_22" (effects (font (size 1.27 1.27))))
(number "22" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -17.78 0) (length 3.81)
(name "Pin_23" (effects (font (size 1.27 1.27))))
(number "23" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -20.32 0) (length 3.81)
(name "Pin_24" (effects (font (size 1.27 1.27))))
(number "24" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -22.86 0) (length 3.81)
(name "Pin_25" (effects (font (size 1.27 1.27))))
(number "25" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -25.4 0) (length 3.81)
(name "Pin_26" (effects (font (size 1.27 1.27))))
(number "26" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -27.94 0) (length 3.81)
(name "Pin_27" (effects (font (size 1.27 1.27))))
(number "27" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -30.48 0) (length 3.81)
(name "Pin_28" (effects (font (size 1.27 1.27))))
(number "28" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -33.02 0) (length 3.81)
(name "Pin_29" (effects (font (size 1.27 1.27))))
(number "29" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 33.02 0) (length 3.81)
(name "Pin_3" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -35.56 0) (length 3.81)
(name "Pin_30" (effects (font (size 1.27 1.27))))
(number "30" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -38.1 0) (length 3.81)
(name "Pin_31" (effects (font (size 1.27 1.27))))
(number "31" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -40.64 0) (length 3.81)
(name "Pin_32" (effects (font (size 1.27 1.27))))
(number "32" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 30.48 0) (length 3.81)
(name "Pin_4" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 27.94 0) (length 3.81)
(name "Pin_5" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 25.4 0) (length 3.81)
(name "Pin_6" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 22.86 0) (length 3.81)
(name "Pin_7" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 20.32 0) (length 3.81)
(name "Pin_8" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 17.78 0) (length 3.81)
(name "Pin_9" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Connector_Generic:Conn_02x17_Odd_Even" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
(property "Reference" "J" (id 0) (at 1.27 22.86 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "Conn_02x17_Odd_Even" (id 1) (at 1.27 -22.86 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "connector" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Generic connector, double row, 02x17, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "Connector*:*_2x??_*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "Conn_02x17_Odd_Even_1_1"
(rectangle (start -1.27 -20.193) (end 0 -20.447)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -17.653) (end 0 -17.907)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -15.113) (end 0 -15.367)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -12.573) (end 0 -12.827)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -10.033) (end 0 -10.287)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -7.493) (end 0 -7.747)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -4.953) (end 0 -5.207)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 -2.413) (end 0 -2.667)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 0.127) (end 0 -0.127)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 2.667) (end 0 2.413)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 5.207) (end 0 4.953)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 7.747) (end 0 7.493)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 10.287) (end 0 10.033)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 12.827) (end 0 12.573)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 15.367) (end 0 15.113)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 17.907) (end 0 17.653)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 20.447) (end 0 20.193)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -1.27 21.59) (end 3.81 -21.59)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type background))
)
(rectangle (start 3.81 -20.193) (end 2.54 -20.447)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 -17.653) (end 2.54 -17.907)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 -15.113) (end 2.54 -15.367)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 -12.573) (end 2.54 -12.827)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 -10.033) (end 2.54 -10.287)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 -7.493) (end 2.54 -7.747)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 -4.953) (end 2.54 -5.207)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 -2.413) (end 2.54 -2.667)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 0.127) (end 2.54 -0.127)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 2.667) (end 2.54 2.413)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 5.207) (end 2.54 4.953)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 7.747) (end 2.54 7.493)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 10.287) (end 2.54 10.033)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 12.827) (end 2.54 12.573)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 15.367) (end 2.54 15.113)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 17.907) (end 2.54 17.653)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 3.81 20.447) (end 2.54 20.193)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type none))
)
(pin passive line (at -5.08 20.32 0) (length 3.81)
(name "Pin_1" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 10.16 180) (length 3.81)
(name "Pin_10" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 7.62 0) (length 3.81)
(name "Pin_11" (effects (font (size 1.27 1.27))))
(number "11" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 7.62 180) (length 3.81)
(name "Pin_12" (effects (font (size 1.27 1.27))))
(number "12" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 5.08 0) (length 3.81)
(name "Pin_13" (effects (font (size 1.27 1.27))))
(number "13" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 5.08 180) (length 3.81)
(name "Pin_14" (effects (font (size 1.27 1.27))))
(number "14" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 2.54 0) (length 3.81)
(name "Pin_15" (effects (font (size 1.27 1.27))))
(number "15" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 2.54 180) (length 3.81)
(name "Pin_16" (effects (font (size 1.27 1.27))))
(number "16" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 0 0) (length 3.81)
(name "Pin_17" (effects (font (size 1.27 1.27))))
(number "17" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 0 180) (length 3.81)
(name "Pin_18" (effects (font (size 1.27 1.27))))
(number "18" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -2.54 0) (length 3.81)
(name "Pin_19" (effects (font (size 1.27 1.27))))
(number "19" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 20.32 180) (length 3.81)
(name "Pin_2" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 -2.54 180) (length 3.81)
(name "Pin_20" (effects (font (size 1.27 1.27))))
(number "20" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -5.08 0) (length 3.81)
(name "Pin_21" (effects (font (size 1.27 1.27))))
(number "21" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 -5.08 180) (length 3.81)
(name "Pin_22" (effects (font (size 1.27 1.27))))
(number "22" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -7.62 0) (length 3.81)
(name "Pin_23" (effects (font (size 1.27 1.27))))
(number "23" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 -7.62 180) (length 3.81)
(name "Pin_24" (effects (font (size 1.27 1.27))))
(number "24" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -10.16 0) (length 3.81)
(name "Pin_25" (effects (font (size 1.27 1.27))))
(number "25" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 -10.16 180) (length 3.81)
(name "Pin_26" (effects (font (size 1.27 1.27))))
(number "26" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -12.7 0) (length 3.81)
(name "Pin_27" (effects (font (size 1.27 1.27))))
(number "27" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 -12.7 180) (length 3.81)
(name "Pin_28" (effects (font (size 1.27 1.27))))
(number "28" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -15.24 0) (length 3.81)
(name "Pin_29" (effects (font (size 1.27 1.27))))
(number "29" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 17.78 0) (length 3.81)
(name "Pin_3" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 -15.24 180) (length 3.81)
(name "Pin_30" (effects (font (size 1.27 1.27))))
(number "30" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -17.78 0) (length 3.81)
(name "Pin_31" (effects (font (size 1.27 1.27))))
(number "31" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 -17.78 180) (length 3.81)
(name "Pin_32" (effects (font (size 1.27 1.27))))
(number "32" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -20.32 0) (length 3.81)
(name "Pin_33" (effects (font (size 1.27 1.27))))
(number "33" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 -20.32 180) (length 3.81)
(name "Pin_34" (effects (font (size 1.27 1.27))))
(number "34" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 17.78 180) (length 3.81)
(name "Pin_4" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 15.24 0) (length 3.81)
(name "Pin_5" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 15.24 180) (length 3.81)
(name "Pin_6" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 12.7 0) (length 3.81)
(name "Pin_7" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 12.7 180) (length 3.81)
(name "Pin_8" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 10.16 0) (length 3.81)
(name "Pin_9" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Device:C" (pin_numbers hide) (pin_names (offset 0.254)) (in_bom yes) (on_board yes)
(property "Reference" "C" (id 0) (at 0.635 2.54 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "C" (id 1) (at 0.635 -2.54 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 0.9652 -3.81 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "cap capacitor" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Unpolarized capacitor" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "C_*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "C_0_1"
(polyline
(pts
(xy -2.032 -0.762)
(xy 2.032 -0.762)
)
(stroke (width 0.508) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -2.032 0.762)
(xy 2.032 0.762)
)
(stroke (width 0.508) (type default) (color 0 0 0 0))
(fill (type none))
)
)
(symbol "C_1_1"
(pin passive line (at 0 3.81 270) (length 2.794)
(name "~" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -3.81 90) (length 2.794)
(name "~" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Device:CP" (pin_numbers hide) (pin_names (offset 0.254)) (in_bom yes) (on_board yes)
(property "Reference" "C" (id 0) (at 0.635 2.54 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "Device_CP" (id 1) (at 0.635 -2.54 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 0.9652 -3.81 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "CP_*" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "CP_0_1"
(rectangle (start -2.286 0.508) (end 2.286 1.016)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -1.778 2.286)
(xy -0.762 2.286)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -1.27 2.794)
(xy -1.27 1.778)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 2.286 -0.508) (end -2.286 -1.016)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type outline))
)
)
(symbol "CP_1_1"
(pin passive line (at 0 3.81 270) (length 2.794)
(name "~" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -3.81 90) (length 2.794)
(name "~" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Device:Q_NPN_ECB" (pin_names (offset 0) hide) (in_bom yes) (on_board yes)
(property "Reference" "Q" (id 0) (at 5.08 1.27 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "Q_NPN_ECB" (id 1) (at 5.08 -1.27 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 5.08 2.54 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "transistor NPN" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "NPN transistor, emitter/collector/base" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "Q_NPN_ECB_0_1"
(polyline
(pts
(xy 0.635 0.635)
(xy 2.54 2.54)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 0.635 -0.635)
(xy 2.54 -2.54)
(xy 2.54 -2.54)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 0.635 1.905)
(xy 0.635 -1.905)
(xy 0.635 -1.905)
)
(stroke (width 0.508) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 1.27 -1.778)
(xy 1.778 -1.27)
(xy 2.286 -2.286)
(xy 1.27 -1.778)
(xy 1.27 -1.778)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type outline))
)
(circle (center 1.27 0) (radius 2.8194)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type none))
)
)
(symbol "Q_NPN_ECB_1_1"
(pin passive line (at 2.54 -5.08 90) (length 2.54)
(name "E" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 2.54 5.08 270) (length 2.54)
(name "C" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 0 0) (length 5.715)
(name "B" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Device:R" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "R" (id 0) (at 2.032 0 90)
(effects (font (size 1.27 1.27)))
)
(property "Value" "R" (id 1) (at 0 0 90)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at -1.778 0 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "R res resistor" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Resistor" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "R_*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "R_0_1"
(rectangle (start -1.016 -2.54) (end 1.016 2.54)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type none))
)
)
(symbol "R_1_1"
(pin passive line (at 0 3.81 270) (length 1.27)
(name "~" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -3.81 90) (length 1.27)
(name "~" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Device:R_Network09" (pin_names (offset 0) hide) (in_bom yes) (on_board yes)
(property "Reference" "RN" (id 0) (at -12.7 0 90)
(effects (font (size 1.27 1.27)))
)
(property "Value" "R_Network09" (id 1) (at 12.7 0 90)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "Resistor_THT:R_Array_SIP10" (id 2) (at 14.605 0 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.vishay.com/docs/31509/csc.pdf" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "R network star-topology" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "9 resistor network, star topology, bussed resistors, small symbol" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "R?Array?SIP*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "R_Network09_0_1"
(rectangle (start -11.43 -3.175) (end 11.43 3.175)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type background))
)
(rectangle (start -10.922 1.524) (end -9.398 -2.54)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type none))
)
(circle (center -10.16 2.286) (radius 0.254)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type outline))
)
(rectangle (start -8.382 1.524) (end -6.858 -2.54)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type none))
)
(circle (center -7.62 2.286) (radius 0.254)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type outline))
)
(rectangle (start -5.842 1.524) (end -4.318 -2.54)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type none))
)
(circle (center -5.08 2.286) (radius 0.254)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type outline))
)
(rectangle (start -3.302 1.524) (end -1.778 -2.54)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type none))
)
(circle (center -2.54 2.286) (radius 0.254)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type outline))
)
(rectangle (start -0.762 1.524) (end 0.762 -2.54)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -10.16 -2.54)
(xy -10.16 -3.81)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -7.62 -2.54)
(xy -7.62 -3.81)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -5.08 -2.54)
(xy -5.08 -3.81)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -2.54 -2.54)
(xy -2.54 -3.81)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 0 -2.54)
(xy 0 -3.81)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 2.54 -2.54)
(xy 2.54 -3.81)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 5.08 -2.54)
(xy 5.08 -3.81)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 7.62 -2.54)
(xy 7.62 -3.81)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 10.16 -2.54)
(xy 10.16 -3.81)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -10.16 1.524)
(xy -10.16 2.286)
(xy -7.62 2.286)
(xy -7.62 1.524)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -7.62 1.524)
(xy -7.62 2.286)
(xy -5.08 2.286)
(xy -5.08 1.524)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -5.08 1.524)
(xy -5.08 2.286)
(xy -2.54 2.286)
(xy -2.54 1.524)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -2.54 1.524)
(xy -2.54 2.286)
(xy 0 2.286)
(xy 0 1.524)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 0 1.524)
(xy 0 2.286)
(xy 2.54 2.286)
(xy 2.54 1.524)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 2.54 1.524)
(xy 2.54 2.286)
(xy 5.08 2.286)
(xy 5.08 1.524)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 5.08 1.524)
(xy 5.08 2.286)
(xy 7.62 2.286)
(xy 7.62 1.524)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 7.62 1.524)
(xy 7.62 2.286)
(xy 10.16 2.286)
(xy 10.16 1.524)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(circle (center 0 2.286) (radius 0.254)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type outline))
)
(rectangle (start 1.778 1.524) (end 3.302 -2.54)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type none))
)
(circle (center 2.54 2.286) (radius 0.254)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type outline))
)
(rectangle (start 4.318 1.524) (end 5.842 -2.54)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type none))
)
(circle (center 5.08 2.286) (radius 0.254)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type outline))
)
(rectangle (start 6.858 1.524) (end 8.382 -2.54)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type none))
)
(circle (center 7.62 2.286) (radius 0.254)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type outline))
)
(rectangle (start 9.398 1.524) (end 10.922 -2.54)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type none))
)
)
(symbol "R_Network09_1_1"
(pin passive line (at -10.16 5.08 270) (length 2.54)
(name "common" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 10.16 -5.08 90) (length 1.27)
(name "R9" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -10.16 -5.08 90) (length 1.27)
(name "R1" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -7.62 -5.08 90) (length 1.27)
(name "R2" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -5.08 90) (length 1.27)
(name "R3" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -2.54 -5.08 90) (length 1.27)
(name "R4" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -5.08 90) (length 1.27)
(name "R5" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 2.54 -5.08 90) (length 1.27)
(name "R6" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 5.08 -5.08 90) (length 1.27)
(name "R7" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 -5.08 90) (length 1.27)
(name "R8" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Device:R_Pack05_SIP" (pin_names (offset 0) hide) (in_bom yes) (on_board yes)
(property "Reference" "RN" (id 0) (at -17.78 0 90)
(effects (font (size 1.27 1.27)))
)
(property "Value" "R_Pack05_SIP" (id 1) (at 20.32 0 90)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "Resistor_THT:R_Array_SIP10" (id 2) (at 22.225 0 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.vishay.com/docs/31509/csc.pdf" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "R network parallel topology isolated" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "5 resistor network, parallel topology, SIP package" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "R?Array?SIP*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "R_Pack05_SIP_0_1"
(rectangle (start -16.51 -1.905) (end 19.05 4.445)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type background))
)
(rectangle (start -16.002 2.794) (end -14.478 -1.27)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -8.382 2.794) (end -6.858 -1.27)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start -0.762 2.794) (end 0.762 -1.27)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -15.24 2.794)
(xy -15.24 3.556)
(xy -12.7 3.556)
(xy -12.7 -1.27)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -7.62 2.794)
(xy -7.62 3.556)
(xy -5.08 3.556)
(xy -5.08 -1.27)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 0 2.794)
(xy 0 3.556)
(xy 2.54 3.556)
(xy 2.54 -1.27)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 7.62 2.794)
(xy 7.62 3.556)
(xy 10.16 3.556)
(xy 10.16 -1.27)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 15.24 2.794)
(xy 15.24 3.556)
(xy 17.78 3.556)
(xy 17.78 -1.27)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 6.858 2.794) (end 8.382 -1.27)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type none))
)
(rectangle (start 14.478 2.794) (end 16.002 -1.27)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type none))
)
)
(symbol "R_Pack05_SIP_1_1"
(pin passive line (at -15.24 -5.08 90) (length 3.81)
(name "R1.1" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 17.78 -5.08 90) (length 3.81)
(name "R5.2" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -12.7 -5.08 90) (length 3.81)
(name "R1.2" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -7.62 -5.08 90) (length 3.81)
(name "R2.1" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -5.08 90) (length 3.81)
(name "R2.2" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -5.08 90) (length 3.81)
(name "R3.1" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 2.54 -5.08 90) (length 3.81)
(name "R3.2" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 -5.08 90) (length 3.81)
(name "R4.1" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 10.16 -5.08 90) (length 3.81)
(name "R4.2" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 15.24 -5.08 90) (length 3.81)
(name "R5.1" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Diode:1N4448" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
(property "Reference" "D" (id 0) (at 0 2.54 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "1N4448" (id 1) (at 0 -2.54 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "Diode_THT:D_DO-35_SOD27_P7.62mm_Horizontal" (id 2) (at 0 -4.445 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "https://assets.nexperia.com/documents/data-sheet/1N4148_1N4448.pdf" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "diode" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "100V 0.15A High-speed standard diode, DO-35" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "D*DO?35*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "1N4448_0_1"
(polyline
(pts
(xy -1.27 1.27)
(xy -1.27 -1.27)
)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 1.27 0)
(xy -1.27 0)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 1.27 1.27)
(xy 1.27 -1.27)
(xy -1.27 0)
(xy 1.27 1.27)
)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type none))
)
)
(symbol "1N4448_1_1"
(pin passive line (at -3.81 0 0) (length 2.54)
(name "K" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 3.81 0 180) (length 2.54)
(name "A" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Regulator_Linear:LM7812_TO220" (pin_names (offset 0.254)) (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at -3.81 3.175 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "LM7812_TO220" (id 1) (at 0 3.175 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Package_TO_SOT_THT:TO-220-3_Vertical" (id 2) (at 0 5.715 0)
(effects (font (size 1.27 1.27) italic) hide)
)
(property "Datasheet" "https://www.onsemi.cn/PowerSolutions/document/MC7800-D.PDF" (id 3) (at 0 -1.27 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "Voltage Regulator 1A Positive" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Positive 1A 35V Linear Regulator, Fixed Output 12V, TO-220" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "TO?220*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "LM7812_TO220_0_1"
(rectangle (start -5.08 1.905) (end 5.08 -5.08)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type background))
)
)
(symbol "LM7812_TO220_1_1"
(pin power_in line (at -7.62 0 0) (length 2.54)
(name "VI" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 0 -7.62 90) (length 2.54)
(name "GND" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin power_out line (at 7.62 0 180) (length 2.54)
(name "VO" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Transistor_Array:ULN2004A" (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at 0 15.875 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "ULN2004A" (id 1) (at 0 13.97 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 1.27 -13.97 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
(property "Datasheet" "http://www.ti.com/lit/ds/symlink/uln2003a.pdf" (id 3) (at 2.54 -5.08 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "darlington transistor array" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "High Voltage, High Current Darlington Transistor Arrays, SOIC16/SOIC16W/DIP16/TSSOP16" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "DIP*W7.62mm* SOIC*3.9x9.9mm*P1.27mm* SSOP*4.4x5.2mm*P0.65mm* TSSOP*4.4x5mm*P0.65mm* SOIC*W*5.3x10.2mm*P1.27mm*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "ULN2004A_0_1"
(rectangle (start -7.62 -12.7) (end 7.62 12.7)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type background))
)
(circle (center -1.778 5.08) (radius 0.254)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(circle (center -1.27 -2.286) (radius 0.254)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type outline))
)
(circle (center -1.27 0) (radius 0.254)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type outline))
)
(circle (center -1.27 2.54) (radius 0.254)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type outline))
)
(circle (center -0.508 5.08) (radius 0.254)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type outline))
)
(polyline
(pts
(xy -4.572 5.08)
(xy -3.556 5.08)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -1.524 5.08)
(xy 4.064 5.08)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 0 6.731)
(xy -1.016 6.731)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -0.508 5.08)
(xy -0.508 10.16)
(xy 2.921 10.16)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy -3.556 6.096)
(xy -3.556 4.064)
(xy -2.032 5.08)
(xy -3.556 6.096)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 0 5.969)
(xy -1.016 5.969)
(xy -0.508 6.731)
(xy 0 5.969)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
)
(symbol "ULN2004A_1_1"
(pin input line (at -10.16 5.08 0) (length 2.54)
(name "I1" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin open_collector line (at 10.16 -10.16 180) (length 2.54)
(name "O7" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin open_collector line (at 10.16 -7.62 180) (length 2.54)
(name "O6" (effects (font (size 1.27 1.27))))
(number "11" (effects (font (size 1.27 1.27))))
)
(pin open_collector line (at 10.16 -5.08 180) (length 2.54)
(name "O5" (effects (font (size 1.27 1.27))))
(number "12" (effects (font (size 1.27 1.27))))
)
(pin open_collector line (at 10.16 -2.54 180) (length 2.54)
(name "O4" (effects (font (size 1.27 1.27))))
(number "13" (effects (font (size 1.27 1.27))))
)
(pin open_collector line (at 10.16 0 180) (length 2.54)
(name "O3" (effects (font (size 1.27 1.27))))
(number "14" (effects (font (size 1.27 1.27))))
)
(pin open_collector line (at 10.16 2.54 180) (length 2.54)
(name "O2" (effects (font (size 1.27 1.27))))
(number "15" (effects (font (size 1.27 1.27))))
)
(pin open_collector line (at 10.16 5.08 180) (length 2.54)
(name "O1" (effects (font (size 1.27 1.27))))
(number "16" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 2.54 0) (length 2.54)
(name "I2" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 0 0) (length 2.54)
(name "I3" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 -2.54 0) (length 2.54)
(name "I4" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 -5.08 0) (length 2.54)
(name "I5" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 -7.62 0) (length 2.54)
(name "I6" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin input line (at -10.16 -10.16 0) (length 2.54)
(name "I7" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 0 -15.24 90) (length 2.54)
(name "GND" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 10.16 10.16 180) (length 2.54)
(name "COM" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "power:GNDD" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "#PWR" (id 0) (at 0 -6.35 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GNDD" (id 1) (at 0 -3.175 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "global power" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Power symbol creates a global label with name \"GNDD\" , digital ground" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "GNDD_0_1"
(rectangle (start -1.27 -1.524) (end 1.27 -2.032)
(stroke (width 0.254) (type default) (color 0 0 0 0))
(fill (type outline))
)
(polyline
(pts
(xy 0 0)
(xy 0 -1.524)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
)
(symbol "GNDD_1_1"
(pin power_in line (at 0 0 270) (length 0) hide
(name "GNDD" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "power:VDD" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "#PWR" (id 0) (at 0 -3.81 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "VDD" (id 1) (at 0 3.81 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Power symbol creates a global label with name \"VDD\"" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "VDD_0_1"
(polyline
(pts
(xy -0.762 1.27)
(xy 0 2.54)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 0 0)
(xy 0 2.54)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 0 2.54)
(xy 0.762 1.27)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
)
(symbol "VDD_1_1"
(pin power_in line (at 0 0 90) (length 0) hide
(name "VDD" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "power:VSS" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "#PWR" (id 0) (at 0 -3.81 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "VSS" (id 1) (at 0 3.81 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Power symbol creates a global label with name \"VSS\"" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "VSS_0_1"
(polyline
(pts
(xy 0 0)
(xy 0 2.54)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type none))
)
(polyline
(pts
(xy 0.762 1.27)
(xy -0.762 1.27)
(xy 0 2.54)
(xy 0.762 1.27)
)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type outline))
)
)
(symbol "VSS_1_1"
(pin power_in line (at 0 0 90) (length 0) hide
(name "VSS" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
)
)
)
(junction (at 342.9 327.66) (diameter 0) (color 0 0 0 0)
(uuid 009b0d62-e9ea-4825-9fdf-befd291c76ce)
)
(junction (at 474.98 327.66) (diameter 0) (color 0 0 0 0)
(uuid 017667a9-f5de-49c7-af53-4f9af2f3a311)
)
(junction (at 53.34 68.58) (diameter 0) (color 0 0 0 0)
(uuid 05c4a04b-0442-4e18-9747-3d9fc4a562fe)
)
(junction (at 133.35 364.49) (diameter 0) (color 0 0 0 0)
(uuid 08926936-9ea4-4894-afca-caca47f3c238)
)
(junction (at 171.45 228.6) (diameter 0) (color 0 0 0 0)
(uuid 08fa8ff6-09a7-484c-b1d9-0e3b7c49bb26)
)
(junction (at 372.11 66.04) (diameter 0) (color 0 0 0 0)
(uuid 0b4c0f05-c855-4742-bad2-dbf645d5842b)
)
(junction (at 171.45 223.52) (diameter 0) (color 0 0 0 0)
(uuid 12481f4a-71b0-43a4-a69b-bc048ed999f0)
)
(junction (at 57.15 118.11) (diameter 0) (color 0 0 0 0)
(uuid 12f8e43c-8f83-48d3-a9b5-5f3ebc0b6c43)
)
(junction (at 579.12 332.74) (diameter 0) (color 0 0 0 0)
(uuid 15699041-ed40-45ee-87d8-f5e206a88536)
)
(junction (at 419.1 364.49) (diameter 0) (color 0 0 0 0)
(uuid 173fd4a7-b485-4e9d-8724-470865466784)
)
(junction (at 558.8 332.74) (diameter 0) (color 0 0 0 0)
(uuid 1855ca44-ab48-4b76-a210-97fc81d916c4)
)
(junction (at 287.02 327.66) (diameter 0) (color 0 0 0 0)
(uuid 186c3f1e-1c94-498e-abf2-1069980f6633)
)
(junction (at 568.96 332.74) (diameter 0) (color 0 0 0 0)
(uuid 1876c30c-72b2-4a8d-9f32-bf8b213530b4)
)
(junction (at 370.84 180.34) (diameter 0) (color 0 0 0 0)
(uuid 199ade13-7442-4da9-8eea-a8e7681e2aee)
)
(junction (at 576.58 332.74) (diameter 0) (color 0 0 0 0)
(uuid 1bd80cf9-f42a-4aee-a408-9dbf4e81e625)
)
(junction (at 551.18 332.74) (diameter 0) (color 0 0 0 0)
(uuid 1bf7d0f9-0dcf-4d7c-b58c-318e3dc42bc9)
)
(junction (at 152.4 364.49) (diameter 0) (color 0 0 0 0)
(uuid 21ca1c08-b8a3-4bdc-9356-70a4d86ee444)
)
(junction (at 594.36 332.74) (diameter 0) (color 0 0 0 0)
(uuid 22962957-1efd-404d-83db-5b233b6c15b0)
)
(junction (at 563.88 332.74) (diameter 0) (color 0 0 0 0)
(uuid 24adc223-60f0-4497-98a3-d664c5a13280)
)
(junction (at 438.15 364.49) (diameter 0) (color 0 0 0 0)
(uuid 26296271-780a-4da9-8e69-910d9240bca1)
)
(junction (at 581.66 332.74) (diameter 0) (color 0 0 0 0)
(uuid 26a22c19-4cc5-4237-9651-0edc4f854154)
)
(junction (at 130.81 91.44) (diameter 0) (color 0 0 0 0)
(uuid 28f921ab-5f55-47f8-b726-02e567145cd5)
)
(junction (at 589.28 332.74) (diameter 0) (color 0 0 0 0)
(uuid 29126f72-63f7-4275-8b12-6b96a71c6f17)
)
(junction (at 96.52 364.49) (diameter 0) (color 0 0 0 0)
(uuid 2a4f1c24-6486-4fd8-8092-72bb07a81274)
)
(junction (at 304.8 364.49) (diameter 0) (color 0 0 0 0)
(uuid 2bbd6c26-4114-4518-8f4a-c6fdadc046b6)
)
(junction (at 586.74 332.74) (diameter 0) (color 0 0 0 0)
(uuid 2ea8fa6f-efc3-40fe-bcf9-05bfa46ead4f)
)
(junction (at 420.37 238.76) (diameter 0) (color 0 0 0 0)
(uuid 3335d379-08d8-4469-9fa1-495ed5a43fba)
)
(junction (at 455.93 327.66) (diameter 0) (color 0 0 0 0)
(uuid 3382bf79-b686-4aeb-9419-c8ab591662bb)
)
(junction (at 228.6 364.49) (diameter 0) (color 0 0 0 0)
(uuid 341e67eb-d5e1-4cb7-9d11-5aa4ab832a2a)
)
(junction (at 113.03 66.04) (diameter 0) (color 0 0 0 0)
(uuid 363189af-2faa-46a4-b025-5a779d801f2e)
)
(junction (at 125.73 66.04) (diameter 0) (color 0 0 0 0)
(uuid 386faf3f-2adf-472a-84bf-bd511edf2429)
)
(junction (at 552.45 172.72) (diameter 0) (color 0 0 0 0)
(uuid 3aec5e23-e675-4bcf-9a9e-48cb59d51927)
)
(junction (at 152.4 327.66) (diameter 0) (color 0 0 0 0)
(uuid 3d70e675-48ae-4edd-b95d-3ca51e634018)
)
(junction (at 125.73 60.96) (diameter 0) (color 0 0 0 0)
(uuid 3e87b259-dfc1-4885-8dcf-7e7ae39674ed)
)
(junction (at 433.07 147.32) (diameter 0) (color 0 0 0 0)
(uuid 414a1d4c-7afc-4ffa-8579-88675cedc4ce)
)
(junction (at 330.2 251.46) (diameter 0) (color 0 0 0 0)
(uuid 48034820-9d25-4020-8e74-d44c1441e803)
)
(junction (at 561.34 332.74) (diameter 0) (color 0 0 0 0)
(uuid 4bbde53d-6894-4e18-9480-84a6a26d5f6b)
)
(junction (at 584.2 332.74) (diameter 0) (color 0 0 0 0)
(uuid 4cc0e615-05a0-4f42-a208-4011ba8ef841)
)
(junction (at 379.73 327.66) (diameter 0) (color 0 0 0 0)
(uuid 4f3dc5bc-04e8-4dcc-91dd-8782e84f321d)
)
(junction (at 210.82 196.85) (diameter 0) (color 0 0 0 0)
(uuid 5641be26-f5e9-482f-8616-297f17f4eae2)
)
(junction (at 349.25 168.91) (diameter 0) (color 0 0 0 0)
(uuid 5684e95c-6824-46cf-8e72-881178a51d31)
)
(junction (at 474.98 364.49) (diameter 0) (color 0 0 0 0)
(uuid 56f0a67a-a93a-477a-9778-70fe2cfeeb5a)
)
(junction (at 553.72 332.74) (diameter 0) (color 0 0 0 0)
(uuid 58390862-1833-41dd-9c4e-98073ea0da33)
)
(junction (at 267.97 327.66) (diameter 0) (color 0 0 0 0)
(uuid 583b0bf3-0699-44db-b975-a241ad040fa4)
)
(junction (at 57.15 327.66) (diameter 0) (color 0 0 0 0)
(uuid 5a010660-4a0b-4680-b361-32d4c3b60537)
)
(junction (at 113.03 76.2) (diameter 0) (color 0 0 0 0)
(uuid 5c32b099-dba7-4228-8a5e-c2156f635ce2)
)
(junction (at 214.63 181.61) (diameter 0) (color 0 0 0 0)
(uuid 5dbda758-e74b-4ccf-ad68-495d537d68ba)
)
(junction (at 294.64 180.34) (diameter 0) (color 0 0 0 0)
(uuid 60ca4740-3009-4486-93d6-c2502818122b)
)
(junction (at 125.73 55.88) (diameter 0) (color 0 0 0 0)
(uuid 645bdbdc-8f65-42ef-a021-2d3e7d74a739)
)
(junction (at 342.9 364.49) (diameter 0) (color 0 0 0 0)
(uuid 6a1ae8ee-dea6-4015-b83e-baf8fcdfaf0f)
)
(junction (at 379.73 364.49) (diameter 0) (color 0 0 0 0)
(uuid 6a25c4e1-7129-430c-892b-6eecb6ffdb47)
)
(junction (at 561.34 54.61) (diameter 0) (color 0 0 0 0)
(uuid 6fe84032-fd31-47ab-97e2-7dae6cf31ca8)
)
(junction (at 599.44 332.74) (diameter 0) (color 0 0 0 0)
(uuid 706c1cb9-5d96-4282-9efc-6147f0125147)
)
(junction (at 278.13 247.65) (diameter 0) (color 0 0 0 0)
(uuid 71aa3829-956e-4ff9-af3f-b06e50ab2b5a)
)
(junction (at 552.45 175.26) (diameter 0) (color 0 0 0 0)
(uuid 72729c20-0465-4f8c-be80-3c22bb337ef7)
)
(junction (at 113.03 63.5) (diameter 0) (color 0 0 0 0)
(uuid 7668b629-abd6-4e14-be84-df90ae487fc6)
)
(junction (at 76.2 327.66) (diameter 0) (color 0 0 0 0)
(uuid 771cb5c1-62ba-4cca-999e-cdcbe417213c)
)
(junction (at 113.03 60.96) (diameter 0) (color 0 0 0 0)
(uuid 7f064424-06a6-4f5b-87d6-1970ae527766)
)
(junction (at 96.52 327.66) (diameter 0) (color 0 0 0 0)
(uuid 830aee7f-dfce-42cd-85ef-6370f6dc02f5)
)
(junction (at 191.77 327.66) (diameter 0) (color 0 0 0 0)
(uuid 848901d5-fdee-4920-a04d-fbc03c912e79)
)
(junction (at 210.82 327.66) (diameter 0) (color 0 0 0 0)
(uuid 868b5d0d-f911-4724-9580-d9e69eb9f709)
)
(junction (at 57.15 364.49) (diameter 0) (color 0 0 0 0)
(uuid 897277a3-b7ce-4d18-8c5f-1c984a246298)
)
(junction (at 125.73 58.42) (diameter 0) (color 0 0 0 0)
(uuid 8b3ba7fc-20b6-43c4-a020-80151e1caecc)
)
(junction (at 113.03 53.34) (diameter 0) (color 0 0 0 0)
(uuid 8b963561-586b-4575-b721-87e7914602c6)
)
(junction (at 323.85 364.49) (diameter 0) (color 0 0 0 0)
(uuid 8efe6411-1919-4082-b5b8-393585e068c8)
)
(junction (at 412.75 184.15) (diameter 0) (color 0 0 0 0)
(uuid 8fd0b33a-45bf-4216-9d7e-a62e1c071730)
)
(junction (at 419.1 327.66) (diameter 0) (color 0 0 0 0)
(uuid 905b154b-e92b-469d-b2e2-340d67daddb7)
)
(junction (at 562.61 180.34) (diameter 0) (color 0 0 0 0)
(uuid 90602c52-0ad1-4381-be87-c493da36f066)
)
(junction (at 552.45 135.89) (diameter 0) (color 0 0 0 0)
(uuid 9116f42f-8d27-4055-8fab-af8b6ed6959f)
)
(junction (at 591.82 332.74) (diameter 0) (color 0 0 0 0)
(uuid 91fc5800-6029-46b1-848d-ca0091f97267)
)
(junction (at 438.15 327.66) (diameter 0) (color 0 0 0 0)
(uuid 92d938cc-f8b1-437d-8914-3d97a0938f67)
)
(junction (at 596.9 326.39) (diameter 0) (color 0 0 0 0)
(uuid 92f063a3-7cce-4a96-8a3a-cf5767f700c6)
)
(junction (at 548.64 332.74) (diameter 0) (color 0 0 0 0)
(uuid 94d24676-7ae3-483c-8bd6-88d31adf00b4)
)
(junction (at 171.45 364.49) (diameter 0) (color 0 0 0 0)
(uuid a04f8542-6c38-4d5c-bdbb-c8e0311a0936)
)
(junction (at 191.77 364.49) (diameter 0) (color 0 0 0 0)
(uuid a1701438-3c8b-4b49-8695-36ec7f9ae4d2)
)
(junction (at 596.9 332.74) (diameter 0) (color 0 0 0 0)
(uuid a177c3b4-b04c-490e-b3fe-d3d4d7aa24a7)
)
(junction (at 171.45 133.35) (diameter 0) (color 0 0 0 0)
(uuid a1934286-475c-49dc-8ea7-db1d069727a2)
)
(junction (at 171.45 124.46) (diameter 0) (color 0 0 0 0)
(uuid a5362821-c161-4c7a-a00c-40e1d7472d56)
)
(junction (at 419.1 223.52) (diameter 0) (color 0 0 0 0)
(uuid af66589f-0dae-4737-851f-f8cddd35005b)
)
(junction (at 167.64 124.46) (diameter 0) (color 0 0 0 0)
(uuid afc58bc7-e8b3-4ec7-b7ec-e155055196a5)
)
(junction (at 311.15 88.9) (diameter 0) (color 0 0 0 0)
(uuid b285d77c-3eef-4763-b6e4-d7759b529dfd)
)
(junction (at 439.42 168.91) (diameter 0) (color 0 0 0 0)
(uuid b4856fa9-d711-4b3f-8ccf-343375c62dce)
)
(junction (at 123.19 219.71) (diameter 0) (color 0 0 0 0)
(uuid b5cea0b5-192f-476b-a3c8-0c26e2231699)
)
(junction (at 133.35 327.66) (diameter 0) (color 0 0 0 0)
(uuid b5ffe018-0d06-4a1b-95ee-b5763a35798d)
)
(junction (at 267.97 364.49) (diameter 0) (color 0 0 0 0)
(uuid b6924901-677d-424a-a3f4-52c8dd1fa5f5)
)
(junction (at 113.03 58.42) (diameter 0) (color 0 0 0 0)
(uuid b7c09c15-282b-4731-8942-008851172201)
)
(junction (at 398.78 364.49) (diameter 0) (color 0 0 0 0)
(uuid bab3431c-ede6-417b-8033-763748a11a9f)
)
(junction (at 113.03 55.88) (diameter 0) (color 0 0 0 0)
(uuid bf6104a1-a529-4c00-b4ae-92001543f7ec)
)
(junction (at 311.15 83.82) (diameter 0) (color 0 0 0 0)
(uuid c0e13d91-53b7-4de6-8d61-7c13732113b8)
)
(junction (at 361.95 327.66) (diameter 0) (color 0 0 0 0)
(uuid c2211bf7-6ed0-4800-9f21-d6a078bedba2)
)
(junction (at 574.04 332.74) (diameter 0) (color 0 0 0 0)
(uuid c346b00c-b5e0-4939-beb4-7f48172ef334)
)
(junction (at 566.42 332.74) (diameter 0) (color 0 0 0 0)
(uuid c3d5daf8-d359-42b2-a7c2-0d080ba7e212)
)
(junction (at 115.57 364.49) (diameter 0) (color 0 0 0 0)
(uuid c7db4903-f95a-49f5-bcce-c52f0ca8defc)
)
(junction (at 571.5 332.74) (diameter 0) (color 0 0 0 0)
(uuid ca9b74ce-0dee-401c-9544-f599f4cf538d)
)
(junction (at 346.71 187.96) (diameter 0) (color 0 0 0 0)
(uuid cad44c02-7fd2-4e9a-b93a-e1b73d6a3ee6)
)
(junction (at 276.86 200.66) (diameter 0) (color 0 0 0 0)
(uuid cd48b13f-c989-4ac1-a7f0-053afcd77527)
)
(junction (at 370.84 234.95) (diameter 0) (color 0 0 0 0)
(uuid d372e2ac-d81e-48b7-8c55-9bbe58eeffc3)
)
(junction (at 53.34 63.5) (diameter 0) (color 0 0 0 0)
(uuid d4f9d898-7a83-4186-a9d6-9da79adbdd19)
)
(junction (at 304.8 165.1) (diameter 0) (color 0 0 0 0)
(uuid d5a7688c-7438-4b6d-999f-4f2a3cb18fd6)
)
(junction (at 247.65 364.49) (diameter 0) (color 0 0 0 0)
(uuid d8d71ad3-6fd1-4a98-9c1f-70c4fbf3d1d1)
)
(junction (at 312.42 120.65) (diameter 0) (color 0 0 0 0)
(uuid d97f24b8-3f5c-4536-a071-0786594f3ffe)
)
(junction (at 39.37 327.66) (diameter 0) (color 0 0 0 0)
(uuid dbbbcbf5-ed09-4c20-902c-70f108158aba)
)
(junction (at 330.2 259.08) (diameter 0) (color 0 0 0 0)
(uuid dd3da890-32ef-4a5a-aea4-e5d2141f1ff1)
)
(junction (at 210.82 364.49) (diameter 0) (color 0 0 0 0)
(uuid de438bc3-2eba-4b9f-95e9-35ce5db157f6)
)
(junction (at 125.73 63.5) (diameter 0) (color 0 0 0 0)
(uuid de552ae9-cde6-4643-8cc7-9de2579dadae)
)
(junction (at 255.27 172.72) (diameter 0) (color 0 0 0 0)
(uuid df5c9f6b-a62e-44ba-997f-b2cf3279c7d4)
)
(junction (at 398.78 327.66) (diameter 0) (color 0 0 0 0)
(uuid dfba7148-cad3-4f40-9835-b1394bd30a2c)
)
(junction (at 455.93 364.49) (diameter 0) (color 0 0 0 0)
(uuid e29e8d7d-cee8-47d4-8444-1d7032daf03c)
)
(junction (at 76.2 364.49) (diameter 0) (color 0 0 0 0)
(uuid e6bf257d-5112-423c-b70a-adf8446f29da)
)
(junction (at 556.26 332.74) (diameter 0) (color 0 0 0 0)
(uuid e86e4fae-9ca7-4857-a93c-bc6a3048f887)
)
(junction (at 39.37 364.49) (diameter 0) (color 0 0 0 0)
(uuid ed612f6d-67c1-4198-976d-84139f8d99bc)
)
(junction (at 323.85 327.66) (diameter 0) (color 0 0 0 0)
(uuid ef400389-7e37-4c93-8647-76318089d59f)
)
(junction (at 257.81 227.33) (diameter 0) (color 0 0 0 0)
(uuid f0f3907b-44e3-4106-9f24-d8ce836b6bb0)
)
(junction (at 562.61 140.97) (diameter 0) (color 0 0 0 0)
(uuid f1d00fe2-8e57-4925-b3b1-571b93bf443a)
)
(junction (at 228.6 327.66) (diameter 0) (color 0 0 0 0)
(uuid f2044410-03ac-4994-9652-9e5f480320f0)
)
(junction (at 420.37 227.33) (diameter 0) (color 0 0 0 0)
(uuid f220d6a7-3170-4e04-8de6-2df0c3962fe0)
)
(junction (at 115.57 327.66) (diameter 0) (color 0 0 0 0)
(uuid f321809c-ab7a-4356-9b11-4c0d46c421ba)
)
(junction (at 260.35 200.66) (diameter 0) (color 0 0 0 0)
(uuid f48f1d12-9008-4743-81e2-bdec45db64a1)
)
(junction (at 171.45 327.66) (diameter 0) (color 0 0 0 0)
(uuid f5a3f95b-1a53-41b4-b208-bf168c9d9c6d)
)
(junction (at 125.73 53.34) (diameter 0) (color 0 0 0 0)
(uuid f67bbef3-6f59-49ba-8890-d1f9dc9f9ad6)
)
(junction (at 372.11 63.5) (diameter 0) (color 0 0 0 0)
(uuid f699494a-77d6-4c73-bd50-29c1c1c5b879)
)
(junction (at 66.04 107.95) (diameter 0) (color 0 0 0 0)
(uuid fa20e708-ec85-4e0b-8402-f74a2724f920)
)
(junction (at 92.71 71.12) (diameter 0) (color 0 0 0 0)
(uuid fb35e3b1-aff6-41a7-9cf0-52694b95edeb)
)
(junction (at 304.8 327.66) (diameter 0) (color 0 0 0 0)
(uuid fc12372f-6e31-40f9-8043-b00b861f0171)
)
(junction (at 361.95 364.49) (diameter 0) (color 0 0 0 0)
(uuid fcb4f52a-a6cb-4ca0-970a-4c8a2c0f3942)
)
(junction (at 287.02 364.49) (diameter 0) (color 0 0 0 0)
(uuid fe4068b9-89da-4c59-ba51-b5949772f5d8)
)
(junction (at 247.65 327.66) (diameter 0) (color 0 0 0 0)
(uuid ffb86135-b43f-4a42-9aa6-73aa7ba972a9)
)
(no_connect (at 429.26 434.34) (uuid 0d7333ca-0587-43cb-9af7-f59016c85820))
(no_connect (at 250.19 160.02) (uuid 0dcb5ab5-f291-489d-b2bc-0f0b25b801ee))
(no_connect (at 572.77 135.89) (uuid 119c633c-175b-4b38-bbc1-1a076032c16e))
(no_connect (at 572.77 170.18) (uuid 248d15cd-dd0c-425d-94cb-b44ccf865457))
(no_connect (at 416.56 58.42) (uuid 47a2dd37-ad02-4281-9a66-8ff7ab400570))
(no_connect (at 416.56 67.31) (uuid 5a67196f-9472-4a8d-961f-eac8ec999d85))
(no_connect (at 571.5 49.53) (uuid 5b29962f-685a-409c-915c-9c4a92ed442a))
(no_connect (at 416.56 49.53) (uuid 63ace593-9960-4666-bb08-47e6f085cee8))
(no_connect (at 411.48 434.34) (uuid 6597e724-ffad-43f1-9619-cca25cced87f))
(no_connect (at 572.77 172.72) (uuid 669e2f76-dce7-4b88-b383-d3587e6cc0cc))
(no_connect (at 389.89 63.5) (uuid 6bd46644-7209-4d4d-acd8-f4c0d045bc61))
(no_connect (at 250.19 175.26) (uuid 7114de55-86d9-46c1-a412-07f5eb895435))
(no_connect (at 250.19 157.48) (uuid 7410568a-af90-4a4e-a67d-5fd1863e0d95))
(no_connect (at 416.56 40.64) (uuid 8162f841-188b-4932-8603-536d516e6ca1))
(no_connect (at 408.94 434.34) (uuid aeae1c08-0511-41ff-896d-95b95a86eb35))
(no_connect (at 68.58 54.61) (uuid b54cae5b-c17c-4ed7-b249-2e7d5e83609a))
(no_connect (at 250.19 154.94) (uuid baaf14d0-0c5c-4bf0-82d7-5ee71082500d))
(no_connect (at 389.89 68.58) (uuid befdfbe5-f3e5-423b-a34e-7bba3f218536))
(no_connect (at 572.77 133.35) (uuid c66790a8-2c84-47da-b059-a728d9f51463))
(no_connect (at 396.24 40.64) (uuid dc9eba43-a0ae-45fc-b91c-9050201557b9))
(no_connect (at 250.19 162.56) (uuid f879c0e8-5893-4eb4-8e59-2292a632100f))
(no_connect (at 572.77 175.26) (uuid fb4e7351-d265-4999-adf6-bc7596c21cf3))
(no_connect (at 327.66 434.34) (uuid fc329e60-968a-4f61-ba77-53d29ff8c1c7))
(wire (pts (xy 541.02 358.14) (xy 541.02 361.95))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 000b46d6-b833-4804-8f56-56d539f76d09)
)
(wire (pts (xy 331.47 246.38) (xy 322.58 246.38))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 01422660-08c8-48f3-98ca-26cbe7f98f5b)
)
(wire (pts (xy 552.45 172.72) (xy 552.45 170.18))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 01657d30-6f8e-4bbd-a3dd-6a0742c69aca)
)
(wire (pts (xy 459.74 374.65) (xy 459.74 383.54))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 020b7e1f-8bb0-4882-91d4-7894bf18db84)
)
(wire (pts (xy 368.3 374.65) (xy 368.3 383.54))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 02b1295e-cf95-47ff-9c57-f8ada28f2e94)
)
(wire (pts (xy 130.81 104.14) (xy 130.81 102.87))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 035be484-9afe-485c-8946-4ad797464cff)
)
(wire (pts (xy 182.88 116.84) (xy 179.07 116.84))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 04868f85-bc69-4fa9-8e62-d78ffe5ae58e)
)
(wire (pts (xy 162.56 133.35) (xy 171.45 133.35))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 05378b8f-daf9-49a0-854e-3047e8d212ad)
)
(wire (pts (xy 184.15 383.54) (xy 184.15 377.19))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0588e431-d56d-4df4-9ffd-6cd4bba412cb)
)
(wire (pts (xy 500.38 368.3) (xy 500.38 359.41))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 058e77a4-10af-4bc8-a984-5984d3bbee4c)
)
(wire (pts (xy 372.11 63.5) (xy 372.11 66.04))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 05d3e08e-e1f9-46cf-93d0-836d1306d03a)
)
(wire (pts (xy 612.14 325.12) (xy 612.14 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 06665bf8-cef1-4e75-8d5b-1537b3c1b090)
)
(wire (pts (xy 275.59 322.58) (xy 293.37 322.58))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 073c8287-235c-4712-a9a0-60a07a1119d5)
)
(wire (pts (xy 113.03 53.34) (xy 125.73 53.34))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 082aed28-f9e8-49e7-96ee-b5aa9f0319c7)
)
(wire (pts (xy 182.88 323.85) (xy 194.31 323.85))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 08ac4c42-16f0-4513-b91e-bf0b3a111257)
)
(wire (pts (xy 287.02 327.66) (xy 267.97 327.66))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 094dc71e-7ea9-4e30-8ba7-749216ec2a8b)
)
(wire (pts (xy 571.5 332.74) (xy 571.5 317.5))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 099473f1-6598-46ff-a50f-4c520832170d)
)
(wire (pts (xy 179.07 332.74) (xy 182.88 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 09ab0b5c-3dee-42c8-b9e5-de0673874ccd)
)
(wire (pts (xy 349.25 173.99) (xy 370.84 173.99))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0a2d185c-629f-461f-8b6b-f91f1894e6ba)
)
(wire (pts (xy 349.25 168.91) (xy 351.79 168.91))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0a52fedd-967a-423d-aaaf-3875f20f935b)
)
(wire (pts (xy 336.55 259.08) (xy 330.2 259.08))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0a79db37-f1d9-40b1-a24d-8bdfb8f637e2)
)
(wire (pts (xy 387.35 312.42) (xy 387.35 323.85))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0ab1512b-eb91-4574-b11f-326e0ff10082)
)
(wire (pts (xy 556.26 332.74) (xy 556.26 358.14))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0ba17a9b-d889-426c-b4fe-048bed6b6be8)
)
(wire (pts (xy 467.36 370.84) (xy 445.77 370.84))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0bbd2e43-3eb0-4216-861b-a58366dbe43d)
)
(wire (pts (xy 123.19 322.58) (xy 118.11 322.58))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0e18138e-f1a3-4288-bb34-3b6bcfb64ff6)
)
(wire (pts (xy 257.81 227.33) (xy 250.19 227.33))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0e1c6bbc-4cc4-4ce9-b48a-8292bb286da8)
)
(wire (pts (xy 604.52 358.14) (xy 604.52 364.49))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0e32af77-726b-4e11-9f99-2e2484ba9e9b)
)
(wire (pts (xy 300.99 325.12) (xy 294.64 325.12))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0e416ef5-3e03-4fa4-b2a6-3ab634a5ee03)
)
(wire (pts (xy 171.45 354.33) (xy 171.45 337.82))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0f9b475c-adb7-41fc-b827-33d4eaa86b99)
)
(wire (pts (xy 370.84 234.95) (xy 370.84 217.17))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0ff398d7-e6e2-4972-a7a4-438407886f34)
)
(wire (pts (xy 210.82 364.49) (xy 191.77 364.49))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 1053b01a-057e-4e79-a21c-42780a737ea9)
)
(wire (pts (xy 247.65 364.49) (xy 228.6 364.49))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 105d44ff-63b9-4299-9078-473af583971a)
)
(wire (pts (xy 130.81 73.66) (xy 125.73 73.66))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 10e5ae6d-e43e-4ff8-abc5-fd9df16782da)
)
(wire (pts (xy 419.1 223.52) (xy 419.1 203.2))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 10fa1a8c-62cb-4b8f-b916-b18d737ff71b)
)
(wire (pts (xy 127 71.12) (xy 125.73 71.12))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 112371bd-7aa2-4b47-b184-50d12afc2534)
)
(wire (pts (xy 57.15 118.11) (xy 68.58 118.11))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 12c8f4c9-cb79-4390-b96c-a717c693de17)
)
(wire (pts (xy 186.69 322.58) (xy 160.02 322.58))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 133d5403-9be3-4603-824b-d3b76147e745)
)
(wire (pts (xy 563.88 317.5) (xy 563.88 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 13ac70df-e9b9-44e5-96e6-20f0b0dc6a3a)
)
(wire (pts (xy 604.52 325.12) (xy 604.52 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 15189cef-9045-423b-b4f6-a763d4e75704)
)
(wire (pts (xy 609.6 358.14) (xy 609.6 364.49))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 152cd84e-bbed-4df5-a866-d1ab977b0966)
)
(wire (pts (xy 140.97 332.74) (xy 140.97 320.04))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 15a0f067-831a-4ddb-bdef-5fb7df267d8f)
)
(wire (pts (xy 140.97 377.19) (xy 140.97 359.41))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 15e1670d-9e79-4a5e-88ad-fbbb238a3e8a)
)
(wire (pts (xy 370.84 173.99) (xy 370.84 180.34))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 17adff9d-c581-42e4-b552-035b922b5256)
)
(wire (pts (xy 331.47 332.74) (xy 331.47 318.77))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 18208121-3872-4be3-a687-40854be3e1c8)
)
(wire (pts (xy 309.88 115.57) (xy 321.31 115.57))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 18d3014d-7089-41b5-ab03-53cc0a265580)
)
(wire (pts (xy 342.9 184.15) (xy 346.71 184.15))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 18dee026-9999-4f10-8c36-736131349406)
)
(wire (pts (xy 490.22 383.54) (xy 490.22 368.3))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 18e95a1d-9d1d-4b93-8e4c-2d03c344acc0)
)
(wire (pts (xy 293.37 322.58) (xy 293.37 312.42))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 19264aae-fe9e-4afc-84ac-56ec33a3b20d)
)
(wire (pts (xy 384.81 165.1) (xy 369.57 165.1))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 19515fa4-c166-4b6e-837d-c01a89e98000)
)
(wire (pts (xy 574.04 317.5) (xy 574.04 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 199124ca-dd64-45cf-a063-97cc545cbea7)
)
(wire (pts (xy 280.67 120.65) (xy 281.94 120.65))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 19d6a411-8997-491d-aace-09fdbc63404d)
)
(wire (pts (xy 278.13 312.42) (xy 278.13 318.77))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 1a734ace-0cd0-489a-9380-915322ff12bd)
)
(wire (pts (xy 438.15 364.49) (xy 419.1 364.49))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 1a7e7b16-fc7c-4e64-9ace-48cc78112437)
)
(wire (pts (xy 346.71 187.96) (xy 346.71 217.17))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 1a9f0d73-6986-450b-8da5-dca8d718cd0d)
)
(wire (pts (xy 140.97 320.04) (xy 179.07 320.04))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 1ab4dceb-24cc-4050-aa74-e8fbb39d3760)
)
(wire (pts (xy 39.37 364.49) (xy 33.02 364.49))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 1ae3634a-f90f-4c6a-8ba7-b38f98d4ccb2)
)
(wire (pts (xy 500.38 323.85) (xy 490.22 323.85))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 1c92f382-4ec3-478f-a1ca-afadd3087787)
)
(wire (pts (xy 171.45 124.46) (xy 171.45 133.35))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 1cc5480b-56b7-4379-98e2-ccafc88911a7)
)
(wire (pts (xy 152.4 327.66) (xy 133.35 327.66))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 1d1a7683-c090-4798-9b40-7ed0d9f3ce3b)
)
(wire (pts (xy 76.2 364.49) (xy 57.15 364.49))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 1d9dc91c-3457-4ca5-8e42-43be60ae0831)
)
(wire (pts (xy 541.02 332.74) (xy 541.02 320.04))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 1de61170-5337-44c5-ba28-bd477db4bff1)
)
(wire (pts (xy 445.77 370.84) (xy 445.77 359.41))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 1eca5f72-2356-4c55-919d-595727faf3b9)
)
(wire (pts (xy 162.56 137.16) (xy 162.56 133.35))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 1fe580af-2bbd-4ada-a604-f23db74d45b8)
)
(wire (pts (xy 278.13 318.77) (xy 236.22 318.77))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 20e1c48c-ae14-4a88-835e-87633cbb6a1c)
)
(wire (pts (xy 66.04 107.95) (xy 64.77 107.95))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 21492bcd-343a-4b2b-b55a-b4586c11bdeb)
)
(wire (pts (xy 309.88 120.65) (xy 312.42 120.65))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 218a2487-4406-4830-b6ad-8a4182eda4f4)
)
(wire (pts (xy 162.56 149.86) (xy 162.56 144.78))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 22ab392d-1989-4185-9178-8083812ea067)
)
(wire (pts (xy 176.53 115.57) (xy 176.53 123.19))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 22c28634-55a5-4f76-9217-6b70ddd108b8)
)
(wire (pts (xy 260.35 200.66) (xy 250.19 200.66))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 23345f3e-d08d-4834-b1dc-64de02569916)
)
(wire (pts (xy 420.37 238.76) (xy 412.75 238.76))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 234e1024-0b7f-410c-90bb-bae43af1eb25)
)
(wire (pts (xy 275.59 383.54) (xy 275.59 374.65))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 245a6fb4-6361-4438-82ca-8861d43ca7f5)
)
(wire (pts (xy 474.98 337.82) (xy 474.98 354.33))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 24a492d9-25a9-4fba-b51b-3effb576b351)
)
(wire (pts (xy 247.65 354.33) (xy 247.65 337.82))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 24fd922c-d488-4d61-b6dc-9d3e359ccc82)
)
(wire (pts (xy 383.54 369.57) (xy 369.57 369.57))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 25247d0c-5910-484b-9651-5750d422a450)
)
(wire (pts (xy 619.76 332.74) (xy 619.76 325.12))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 272c2a78-b5f5-4b61-aed3-ec69e0e92729)
)
(wire (pts (xy 76.2 354.33) (xy 76.2 337.82))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2765a021-71f1-4136-b72b-81c2c6882946)
)
(wire (pts (xy 372.11 73.66) (xy 382.27 73.66))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 282c8e53-3acc-42f0-a92a-6aa976b97a93)
)
(wire (pts (xy 267.97 327.66) (xy 247.65 327.66))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 28d267fd-6d61-43bb-9705-8d59d7a44e81)
)
(wire (pts (xy 218.44 369.57) (xy 218.44 359.41))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 296ded40-ed53-4798-8db4-dad7b794226b)
)
(wire (pts (xy 579.12 358.14) (xy 579.12 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 29cbb0bc-f66b-4d11-80e7-5bb270e42496)
)
(wire (pts (xy 294.64 247.65) (xy 278.13 247.65))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 29cd9e70-9b68-44f7-96b2-fe993c246832)
)
(wire (pts (xy 402.59 312.42) (xy 402.59 323.85))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 29ec1a54-dea0-4d1a-a3dc-a7441a09bb9e)
)
(wire (pts (xy 614.68 358.14) (xy 614.68 364.49))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2a4111b7-8149-4814-9344-3b8119cd75e4)
)
(wire (pts (xy 218.44 325.12) (xy 218.44 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2b7c4f37-42c0-4571-a44b-b808484d3d74)
)
(wire (pts (xy 115.57 364.49) (xy 96.52 364.49))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2c10387c-3cac-4a7c-bbfb-95d69f41a890)
)
(wire (pts (xy 350.52 321.31) (xy 350.52 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2cd2fee2-51b2-4fcd-8c94-c435e6791358)
)
(wire (pts (xy 384.81 234.95) (xy 370.84 234.95))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2dc66f7e-d85d-4081-ae71-fd8851d6aeda)
)
(wire (pts (xy 214.63 369.57) (xy 218.44 369.57))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2e0f69a6-955c-44f2-af4d-b4ad566ef54b)
)
(wire (pts (xy 278.13 247.65) (xy 278.13 248.92))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2e1d63b8-5189-41bb-8b6a-c4ada546b2d5)
)
(wire (pts (xy 601.98 364.49) (xy 601.98 358.14))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2ee28fa9-d785-45a1-9a1b-1be02ad8cd0b)
)
(wire (pts (xy 330.2 259.08) (xy 330.2 261.62))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 315d2b15-cfe6-4672-b3ad-24773f3df12c)
)
(wire (pts (xy 113.03 63.5) (xy 113.03 66.04))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 31bfc3e7-147b-4531-a0c5-e3a305c1647d)
)
(wire (pts (xy 196.85 223.52) (xy 222.25 223.52))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 321eb03e-d5d7-4c98-9326-4c49d56670ae)
)
(wire (pts (xy 379.73 327.66) (xy 361.95 327.66))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3273ec61-4a33-41c2-82bf-cde7c8587c1b)
)
(wire (pts (xy 125.73 68.58) (xy 182.88 68.58))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 335263d3-7e35-4a9c-83c2-cd71d45f0688)
)
(wire (pts (xy 255.27 359.41) (xy 255.27 372.11))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 337d1242-91ab-4446-8b9e-7609c6a49e3c)
)
(wire (pts (xy 556.26 317.5) (xy 556.26 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3457afc5-3e4f-4220-81d1-b079f653a722)
)
(wire (pts (xy 201.93 332.74) (xy 199.39 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 35431843-170f-401f-88d7-da91172bed86)
)
(wire (pts (xy 584.2 358.14) (xy 584.2 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 355ced6c-c08a-4586-9a09-7a9c624536f6)
)
(wire (pts (xy 482.6 332.74) (xy 482.6 312.42))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 36210d52-4f9a-42bc-a022-019a63c67fc2)
)
(wire (pts (xy 398.78 383.54) (xy 398.78 369.57))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3675ad1a-972f-4046-b23a-e6ca04304035)
)
(wire (pts (xy 113.03 66.04) (xy 125.73 66.04))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 37657eee-b379-4145-b65d-79c82b53e49e)
)
(wire (pts (xy 331.47 318.77) (xy 372.11 318.77))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3768cce7-1e64-480e-bb38-0c6794a852ac)
)
(wire (pts (xy 294.64 189.23) (xy 294.64 187.96))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 39125f99-6caa-4e69-9ae5-ca3bd6e3a49c)
)
(wire (pts (xy 283.21 81.28) (xy 281.94 81.28))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3934b2e9-06c8-499c-a6df-4d7b35cfb894)
)
(wire (pts (xy 543.56 320.04) (xy 543.56 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3a1a39fc-8030-4c93-9d9c-d79ba6824099)
)
(wire (pts (xy 87.63 321.31) (xy 102.87 321.31))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3b19a97f-624a-48d9-8072-15bdeede0fff)
)
(wire (pts (xy 596.9 323.85) (xy 596.9 326.39))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3b65c51e-c243-447e-bee9-832d94c1630e)
)
(wire (pts (xy 361.95 337.82) (xy 361.95 354.33))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3bb9c3d4-9a6f-41ac-8d1e-92ed4fe334c0)
)
(wire (pts (xy 546.1 361.95) (xy 546.1 358.14))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3bbbbb7d-391c-4fee-ac81-3c47878edc38)
)
(wire (pts (xy 43.18 109.22) (xy 43.18 107.95))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3bca658b-a598-4669-a7cb-3f9b5f47bb5a)
)
(wire (pts (xy 46.99 359.41) (xy 46.99 377.19))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3bdaeac5-b4b7-4a96-b0da-b5e1b46798c2)
)
(wire (pts (xy 39.37 63.5) (xy 45.72 63.5))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3cf0233f-86e3-4b85-ad75-fb8a46f37498)
)
(wire (pts (xy 372.11 318.77) (xy 372.11 312.42))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3d213c37-de80-490e-9f45-2814d3fc958b)
)
(wire (pts (xy 210.82 327.66) (xy 191.77 327.66))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3d2a15cb-c492-4d9a-b1dd-7d5f099d2d31)
)
(wire (pts (xy 110.49 68.58) (xy 113.03 68.58))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3d552623-2969-4b15-8623-368144f225e9)
)
(wire (pts (xy 308.61 325.12) (xy 308.61 312.42))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3dfbccca-f469-4a6f-a8bd-5f55435b5cfa)
)
(wire (pts (xy 500.38 332.74) (xy 500.38 323.85))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3e147ce1-21a6-4e77-a3db-fd00d575cd22)
)
(wire (pts (xy 171.45 133.35) (xy 171.45 135.89))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3ece4e6a-36d6-4507-8bce-02ca2429f9ce)
)
(wire (pts (xy 571.5 332.74) (xy 571.5 358.14))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3ed2c840-383d-4cbd-bc3b-c4ea4c97b333)
)
(wire (pts (xy 619.76 364.49) (xy 619.76 358.14))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3f2a6679-91d7-4b6c-bf5c-c4d5abb2bc44)
)
(wire (pts (xy 311.15 81.28) (xy 311.15 83.82))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 406d491e-5b01-46dc-a768-fd0992cdb346)
)
(wire (pts (xy 596.9 332.74) (xy 596.9 358.14))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4086cbd7-6ba7-4e63-8da9-17e60627ee17)
)
(wire (pts (xy 182.88 68.58) (xy 182.88 116.84))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4102ae0e-3d75-40cd-957b-0b4db5d3f5ee)
)
(wire (pts (xy 68.58 115.57) (xy 68.58 118.11))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 41485de5-6ed3-4c83-b69e-ef83ae18093c)
)
(wire (pts (xy 165.1 223.52) (xy 171.45 223.52))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 41524d81-a7f7-45af-a8c6-15609b68d1fd)
)
(wire (pts (xy 267.97 364.49) (xy 247.65 364.49))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 41ab46ed-40f5-461d-81aa-1f02dc069a49)
)
(wire (pts (xy 130.81 90.17) (xy 130.81 91.44))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4223805d-8db1-4df1-b73a-3d99f37f1701)
)
(wire (pts (xy 101.6 124.46) (xy 167.64 124.46))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4263a0e8-33fc-439f-9b56-889a4f5d7b26)
)
(wire (pts (xy 562.61 180.34) (xy 552.45 180.34))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 42688fc6-3e24-4a56-9963-828da46dcdfb)
)
(wire (pts (xy 57.15 123.19) (xy 57.15 118.11))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4344bc11-e822-474b-8d61-d12211e719b1)
)
(wire (pts (xy 276.86 154.94) (xy 276.86 200.66))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 43f341b3-06e9-4e7a-a26e-5365b89d76bf)
)
(wire (pts (xy 95.25 312.42) (xy 95.25 320.04))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 44509293-79e2-4fab-8860-b0cecb591afa)
)
(wire (pts (xy 467.36 383.54) (xy 467.36 370.84))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 44e993be-f2df-4e61-a598-dfd6e106a208)
)
(wire (pts (xy 379.73 354.33) (xy 379.73 337.82))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 45484f82-420e-44d0-a58e-382bb939dac5)
)
(wire (pts (xy 160.02 374.65) (xy 191.77 374.65))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 45676199-bb82-4d58-98c1-b606deb355be)
)
(wire (pts (xy 342.9 327.66) (xy 323.85 327.66))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 45836d49-cd5f-417d-b0f6-c8b43d196a36)
)
(wire (pts (xy 330.2 214.63) (xy 330.2 251.46))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 45a58c23-3e6d-4df0-af01-6d5948b0075c)
)
(wire (pts (xy 474.98 368.3) (xy 463.55 368.3))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 45b7fe01-a2fa-40c2-a3a2-4a9ae7c34dba)
)
(wire (pts (xy 584.2 317.5) (xy 584.2 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4641c87c-bffa-41fe-ae77-be3a97a6f797)
)
(wire (pts (xy 467.36 312.42) (xy 467.36 321.31))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4648968b-aa58-4f57-8f45-54b088364670)
)
(wire (pts (xy 591.82 332.74) (xy 591.82 358.14))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 465137b4-f6f7-4d51-9b40-b161947d5cc1)
)
(wire (pts (xy 214.63 383.54) (xy 214.63 369.57))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 47be24ee-e15b-4cee-b84b-350111ac1499)
)
(wire (pts (xy 260.35 227.33) (xy 257.81 227.33))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 48a8c1f5-4bcb-4560-9762-44aaefee4419)
)
(wire (pts (xy 275.59 374.65) (xy 236.22 374.65))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 49b38f13-9789-4c6d-bbd5-2c69a9e19e69)
)
(wire (pts (xy 546.1 332.74) (xy 546.1 320.04))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 49b5f540-e128-4e08-bb09-f321f8e64056)
)
(wire (pts (xy 375.92 372.11) (xy 350.52 372.11))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4aee84d1-0859-48ac-a053-5a981ee1b24a)
)
(wire (pts (xy 492.76 327.66) (xy 474.98 327.66))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4c144ffa-02d0-42da-aef1-f5175cbde9c0)
)
(wire (pts (xy 474.98 383.54) (xy 474.98 368.3))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4c4b4317-29d0-438a-b331-525ede18773a)
)
(wire (pts (xy 209.55 325.12) (xy 218.44 325.12))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4c717b47-484c-4d70-8fcd-83c406ff2d17)
)
(wire (pts (xy 420.37 227.33) (xy 421.64 227.33))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4d2fd49e-2cb2-44d4-8935-68488970d97b)
)
(wire (pts (xy 260.35 200.66) (xy 276.86 200.66))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4d51bc15-1f84-46be-8e16-e836b10f854e)
)
(wire (pts (xy 294.64 370.84) (xy 298.45 370.84))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4d55ddc7-73be-49f7-98ea-a0ba474cbdb0)
)
(wire (pts (xy 285.75 321.31) (xy 255.27 321.31))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4d6dfe4f-0070-449e-bb5c-a3b1d4b26ba7)
)
(wire (pts (xy 323.85 364.49) (xy 304.8 364.49))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4e7a230a-c1a4-4455-81ee-277835acf4a2)
)
(wire (pts (xy 304.8 337.82) (xy 304.8 354.33))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4ef07d45-f940-4cb6-bb96-2ddec13fd099)
)
(wire (pts (xy 194.31 323.85) (xy 194.31 312.42))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4fc3183f-297c-42b7-b3bd-25a9ea18c844)
)
(wire (pts (xy 342.9 168.91) (xy 349.25 168.91))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 504cb9e4-5572-4208-bc9d-30a7efff8b9a)
)
(wire (pts (xy 133.35 337.82) (xy 133.35 354.33))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 50a799a7-f8f3-4f13-9288-b10696e9a7da)
)
(wire (pts (xy 304.8 364.49) (xy 287.02 364.49))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 51f5536d-48d2-4807-be44-93f427952b0e)
)
(wire (pts (xy 412.75 184.15) (xy 415.29 184.15))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 524d7aa8-362f-459a-b2ae-4ca2a0b1612b)
)
(wire (pts (xy 290.83 383.54) (xy 290.83 368.3))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5290e0d7-1f24-4c0b-91ff-28c5a304ab9a)
)
(wire (pts (xy 251.46 195.58) (xy 250.19 195.58))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 544c9ad7-a0b6-4f88-9dcd-908e3e2acf79)
)
(wire (pts (xy 115.57 327.66) (xy 96.52 327.66))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 54d76293-1ce2-46f8-9be7-a3d7f9f28112)
)
(wire (pts (xy 160.02 359.41) (xy 160.02 374.65))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 55ac7ee1-f461-406b-8cf5-da47a7717180)
)
(wire (pts (xy 288.29 139.7) (xy 288.29 180.34))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 55b28997-b330-40d1-b32a-125cd071668d)
)
(wire (pts (xy 426.72 374.65) (xy 459.74 374.65))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 55fa5fa0-9426-4801-b40c-682e71189d8a)
)
(wire (pts (xy 612.14 364.49) (xy 612.14 358.14))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 560d05a7-84e4-403a-80d1-f287a4032b8a)
)
(wire (pts (xy 104.14 382.27) (xy 104.14 370.84))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 567a04d6-5dce-4e5f-9e8e-f34010ecea5b)
)
(wire (pts (xy 369.57 154.94) (xy 369.57 165.1))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 56dc9d1a-d125-4218-be7e-afbadad9f13c)
)
(wire (pts (xy 123.19 367.03) (xy 119.38 367.03))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 57121f1d-c971-4830-b974-00f7d706f0c9)
)
(wire (pts (xy 402.59 323.85) (xy 406.4 323.85))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5778dc8c-60fe-435e-b75a-362eae1b81ab)
)
(wire (pts (xy 576.58 332.74) (xy 576.58 317.5))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 57f248a7-365e-4c42-b80d-5a7d1f9dfaf3)
)
(wire (pts (xy 383.54 383.54) (xy 383.54 369.57))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 59142adb-6887-41fc-851e-9a7f51511d60)
)
(wire (pts (xy 228.6 337.82) (xy 228.6 354.33))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 59ee13a4-660e-47e2-a73a-01cfe11439e9)
)
(wire (pts (xy 312.42 120.65) (xy 334.01 120.65))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5aa1c642-a9f0-4211-8572-3a7e8453422e)
)
(wire (pts (xy 387.35 369.57) (xy 391.16 369.57))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5b04e20f-8575-4362-b040-2e2133d670c8)
)
(wire (pts (xy 596.9 326.39) (xy 596.9 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5bab6a37-1fdf-4cf8-b571-44c962ed86e9)
)
(wire (pts (xy 39.37 354.33) (xy 39.37 337.82))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5c1d6842-15a5-4f73-b198-8836681840a1)
)
(wire (pts (xy 252.73 222.25) (xy 250.19 222.25))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5c9202d7-6a93-43b3-87c0-77347fd72885)
)
(wire (pts (xy 342.9 364.49) (xy 323.85 364.49))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5cc7655c-62f2-43d2-a7a5-eaa4635dada8)
)
(wire (pts (xy 257.81 227.33) (xy 257.81 247.65))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5da0928a-9939-439c-bcbe-74de097058a8)
)
(wire (pts (xy 426.72 359.41) (xy 426.72 374.65))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5dffd1d6-faf9-418e-b9a0-84fb6b6b4454)
)
(wire (pts (xy 553.72 332.74) (xy 553.72 317.5))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5e755161-24a5-4650-a6e3-9836bf074412)
)
(wire (pts (xy 398.78 364.49) (xy 379.73 364.49))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5f059fcf-8990-4db3-9058-7f232d9600e1)
)
(wire (pts (xy 382.27 58.42) (xy 372.11 58.42))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5f38bdb2-3657-474e-8e86-d6bb0b298110)
)
(wire (pts (xy 558.8 317.5) (xy 558.8 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5f48b0f2-82cf-40ce-afac-440f97643c36)
)
(wire (pts (xy 375.92 383.54) (xy 375.92 372.11))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5fc4054a-b929-433e-a947-747fb7ed003d)
)
(wire (pts (xy 171.45 223.52) (xy 171.45 228.6))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 604495b3-3885-49af-8442-bcf3d7361dc4)
)
(wire (pts (xy 331.47 374.65) (xy 368.3 374.65))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 617edc57-1dbf-4296-b365-6d76f68a1c0f)
)
(wire (pts (xy 199.39 369.57) (xy 207.01 369.57))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 61fae217-e18a-4e68-8630-42cc06a8ba2f)
)
(wire (pts (xy 463.55 368.3) (xy 463.55 359.41))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6239967a-77bd-4ec9-89cd-e04efd8dbe26)
)
(wire (pts (xy 275.59 368.3) (xy 275.59 359.41))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 624c6565-c4fd-4d29-87af-f77dd1ba0898)
)
(wire (pts (xy 171.45 223.52) (xy 173.99 223.52))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 628f0a9f-12ce-4a6a-8ea2-8c2cdfc4161e)
)
(wire (pts (xy 306.07 368.3) (xy 312.42 368.3))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 62a1b97d-067d-487c-835b-0166330d25fe)
)
(wire (pts (xy 551.18 54.61) (xy 561.34 54.61))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 62af6e3c-7d06-438a-b62f-014ae3262ea1)
)
(wire (pts (xy 361.95 327.66) (xy 342.9 327.66))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 62cbcc21-2cec-41ab-be06-499e1a78d7e7)
)
(wire (pts (xy 599.44 358.14) (xy 599.44 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 63caf46e-0228-40de-b819-c6bd29dd1711)
)
(wire (pts (xy 53.34 78.74) (xy 53.34 80.01))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6476e233-d260-45fe-84d2-9ade7d0003a0)
)
(wire (pts (xy 568.96 358.14) (xy 568.96 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 653a86ba-a1ae-4175-9d4c-c788087956d0)
)
(wire (pts (xy 171.45 228.6) (xy 171.45 229.87))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 65e58d89-f213-4051-b36b-7b3454867ad5)
)
(wire (pts (xy 311.15 78.74) (xy 316.23 78.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 661ca2ba-bce5-4308-99a6-de333a625515)
)
(wire (pts (xy 438.15 337.82) (xy 438.15 354.33))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 665081dc-8354-4d41-8855-bde8901aee4c)
)
(wire (pts (xy 490.22 323.85) (xy 490.22 312.42))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 67d6d490-a9a4-4ec7-8744-7c7abc821282)
)
(wire (pts (xy 306.07 383.54) (xy 306.07 368.3))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 69f75991-c8c0-49a9-aed8-daa6ca9a5d73)
)
(wire (pts (xy 574.04 358.14) (xy 574.04 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6a0919c2-460c-4229-b872-14e318e1ba8b)
)
(wire (pts (xy 53.34 68.58) (xy 53.34 63.5))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6a5b3eea-de35-4a54-8316-e56ea2a634e4)
)
(wire (pts (xy 64.77 320.04) (xy 64.77 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6ae901e7-3f37-4fdc-9fbb-f82666744826)
)
(wire (pts (xy 247.65 327.66) (xy 228.6 327.66))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6d1e2df9-cc89-4e18-a541-699f0d20dd45)
)
(wire (pts (xy 270.51 88.9) (xy 270.51 116.84))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6dc32d24-5ef0-4c0e-ad26-4d147b147b28)
)
(wire (pts (xy 222.25 158.75) (xy 214.63 158.75))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6e77d4d6-0239-4c20-98f8-23ae4f71d638)
)
(wire (pts (xy 171.45 228.6) (xy 196.85 228.6))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6f13bfbf-7f19-4b33-9de2-b8c15c8c88ee)
)
(wire (pts (xy 64.77 359.41) (xy 64.77 373.38))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6f3f676d-a47a-4e8c-8d6e-02275a3490d7)
)
(wire (pts (xy 179.07 320.04) (xy 179.07 312.42))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6f78c1fb-f693-4737-b750-74e50c35a564)
)
(wire (pts (xy 304.8 165.1) (xy 297.18 165.1))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6fd21292-6577-40e1-bbda-18906b5e9f6f)
)
(wire (pts (xy 201.93 312.42) (xy 201.93 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6fddc16f-ccc1-4ade-884c-d6efda461da8)
)
(wire (pts (xy 312.42 139.7) (xy 312.42 120.65))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6fff55eb-076f-4a2f-86d3-091fcb2366e9)
)
(wire (pts (xy 228.6 364.49) (xy 210.82 364.49))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 7043f61a-4f1e-4cab-9031-a6449e41a893)
)
(wire (pts (xy 236.22 374.65) (xy 236.22 359.41))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 71079b24-2e2e-494b-a607-86ccdae75c6e)
)
(wire (pts (xy 152.4 337.82) (xy 152.4 354.33))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 71a9f036-1f13-462e-ac9e-81caaaa7f807)
)
(wire (pts (xy 563.88 358.14) (xy 563.88 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 7233cb6b-d8fd-4fcd-9b4f-8b0ed19b1b12)
)
(wire (pts (xy 133.35 327.66) (xy 115.57 327.66))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 7247fe96-7885-4063-8282-ea2fd2b28b0d)
)
(wire (pts (xy 431.8 179.07) (xy 412.75 179.07))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 72e9c34a-4fbc-4581-8ad2-e93bc3c3ccb0)
)
(wire (pts (xy 210.82 123.19) (xy 210.82 196.85))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 74012f9c-57f0-452a-9ea1-1e3437e264b8)
)
(wire (pts (xy 167.64 124.46) (xy 171.45 124.46))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 740c9c9e-c377-4082-a7c2-2dfeb8296429)
)
(wire (pts (xy 304.8 203.2) (xy 304.8 165.1))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 750e60a2-e808-4253-8275-b79930fb2714)
)
(wire (pts (xy 300.99 312.42) (xy 300.99 325.12))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 751752b1-1f0f-490c-ba43-2d34c357b41e)
)
(wire (pts (xy 304.8 327.66) (xy 287.02 327.66))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 761492e2-a989-4596-80c3-fcd6943df072)
)
(wire (pts (xy 558.8 358.14) (xy 558.8 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 761c8e29-382a-475c-a37a-7201cc9cd0f5)
)
(wire (pts (xy 104.14 323.85) (xy 104.14 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 7684f860-395c-40b3-8cc0-a644dcdbc220)
)
(wire (pts (xy 123.19 359.41) (xy 123.19 367.03))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 76862e4a-1816-475c-9943-666036c637f7)
)
(wire (pts (xy 43.18 68.58) (xy 43.18 80.01))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 77121855-7958-40c5-81ca-b386a811e84c)
)
(wire (pts (xy 419.1 327.66) (xy 398.78 327.66))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 778b0e81-d70b-4705-ae45-b4c475c88dab)
)
(wire (pts (xy 171.45 364.49) (xy 152.4 364.49))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 784e3230-2053-4bc9-a786-5ac2bd0df0f5)
)
(wire (pts (xy 115.57 354.33) (xy 115.57 337.82))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 78a228c9-bbf0-49cf-b917-2dec23b390df)
)
(wire (pts (xy 213.36 147.32) (xy 213.36 173.99))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 799d9f4a-bb6b-44d5-9f4c-3a30db59943d)
)
(wire (pts (xy 426.72 332.74) (xy 426.72 318.77))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 7a6d9a4e-fe6a-4427-9f0c-a10fd3ceb923)
)
(wire (pts (xy 455.93 364.49) (xy 438.15 364.49))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 7ac1ccc5-26c5-4b73-8425-7bbec927bf24)
)
(wire (pts (xy 199.39 372.11) (xy 179.07 372.11))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 7c3df708-fb44-40cc-b435-cd67e8cec48a)
)
(wire (pts (xy 267.97 337.82) (xy 267.97 354.33))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 7ce4aab5-8271-4432-a4b1-bff168293b45)
)
(wire (pts (xy 330.2 251.46) (xy 322.58 251.46))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 7df9ce6f-7f38-4582-a049-7f92faf1abc9)
)
(wire (pts (xy 285.75 312.42) (xy 285.75 321.31))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 7e232027-e1fd-4d55-a751-dd67130d7d22)
)
(wire (pts (xy 579.12 317.5) (xy 579.12 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 80095e91-6317-4cfb-9aea-884c9a1accc5)
)
(wire (pts (xy 191.77 374.65) (xy 191.77 383.54))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8019bb27-2172-4d60-932e-7bd55a890b6c)
)
(wire (pts (xy 57.15 364.49) (xy 39.37 364.49))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 80b9a57f-3326-43ca-b6ca-5e911992b3c4)
)
(wire (pts (xy 350.52 372.11) (xy 350.52 359.41))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 811f5389-c208-4640-ab1a-b454491bb330)
)
(wire (pts (xy 57.15 327.66) (xy 39.37 327.66))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 81ab7ed7-7160-4650-b711-4daa2902dc8b)
)
(wire (pts (xy 123.19 207.01) (xy 123.19 219.71))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8313e187-c805-4927-8002-313a51839243)
)
(wire (pts (xy 374.65 66.04) (xy 372.11 66.04))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 83c5181e-f5ee-453c-ae5c-d7256ba8837d)
)
(wire (pts (xy 482.6 359.41) (xy 482.6 383.54))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 83d9db3e-661a-47bf-b26c-99313ad8bac9)
)
(wire (pts (xy 387.35 325.12) (xy 394.97 325.12))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 84d5cf13-52aa-4648-82e7-8be6e886a6b2)
)
(wire (pts (xy 311.15 88.9) (xy 311.15 90.17))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 856c0384-2dfc-47d2-a66c-a145c3149f14)
)
(wire (pts (xy 209.55 312.42) (xy 209.55 325.12))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 85d211d4-76e7-4e49-a9c8-2e1cc8ab5805)
)
(wire (pts (xy 102.87 321.31) (xy 102.87 312.42))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 87f44303-a6e8-48e5-bb6d-f89abb09a999)
)
(wire (pts (xy 596.9 326.39) (xy 599.44 326.39))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 88deea08-baa5-4041-beb7-01c299cf00e6)
)
(wire (pts (xy 311.15 88.9) (xy 270.51 88.9))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 899a4caf-0563-4c2a-9bca-5aa28747ef75)
)
(wire (pts (xy 113.03 76.2) (xy 113.03 73.66))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 89a3dae6-dcb5-435b-a383-656b6a19a316)
)
(wire (pts (xy 323.85 337.82) (xy 323.85 354.33))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 89fb4a63-a18d-4c7e-be12-f061ef4bf0c0)
)
(wire (pts (xy 607.06 364.49) (xy 607.06 358.14))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8a427111-6480-4b0c-b097-d8b6a0ee1819)
)
(wire (pts (xy 288.29 180.34) (xy 294.64 180.34))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8aab4608-39e8-491a-83a8-7194f36094f1)
)
(wire (pts (xy 60.96 64.77) (xy 60.96 71.12))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8aeae536-fd36-430e-be47-1a856eced2fc)
)
(wire (pts (xy 53.34 57.15) (xy 53.34 63.5))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8aff0f38-92a8-45ec-b106-b185e93ca3fd)
)
(wire (pts (xy 45.72 68.58) (xy 43.18 68.58))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8cf4e6c7-f213-4dc6-a215-9a85d8791784)
)
(wire (pts (xy 130.81 95.25) (xy 130.81 91.44))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8dcf40e6-09a5-42e4-8b46-f4738540468d)
)
(wire (pts (xy 349.25 168.91) (xy 349.25 173.99))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8e6e5f4d-6567-459b-ac23-dfc1d101e708)
)
(wire (pts (xy 391.16 369.57) (xy 391.16 383.54))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8e715b73-353f-4cfc-aa33-1eac54b89b6c)
)
(wire (pts (xy 76.2 327.66) (xy 57.15 327.66))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8e75264b-b45e-45ec-b230-7e1dce7d68b3)
)
(wire (pts (xy 594.36 332.74) (xy 594.36 317.5))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8eb98c56-17e4-4de6-a3e3-06dcfa392040)
)
(wire (pts (xy 107.95 67.31) (xy 110.49 67.31))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 90207e9d-650a-4c45-b7d5-e506cc85537d)
)
(wire (pts (xy 139.7 66.04) (xy 139.7 104.14))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 90c277f5-3e3b-41f6-bd63-c0b79fa5e403)
)
(wire (pts (xy 568.96 317.5) (xy 568.96 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9112ddd5-10d5-48b8-954f-f1d5adcacbd9)
)
(wire (pts (xy 551.18 317.5) (xy 551.18 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9208ea78-8dde-4b3d-91e9-5755ab5efd9a)
)
(wire (pts (xy 287.02 364.49) (xy 267.97 364.49))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 92574e8a-729f-48de-afcb-97b4f5e826f8)
)
(wire (pts (xy 191.77 327.66) (xy 171.45 327.66))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 926b329f-cd0d-410a-bc4a-e36446f8965a)
)
(wire (pts (xy 207.01 369.57) (xy 207.01 383.54))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 927b1eb6-e6f4-412f-9a58-8dc81a4889a0)
)
(wire (pts (xy 323.85 327.66) (xy 304.8 327.66))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 92d17eb0-c75d-48d9-ae9e-ea0c7f723be4)
)
(wire (pts (xy 398.78 369.57) (xy 406.4 369.57))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 92ec60c8-e914-4456-8d37-4b88fc0eb9c6)
)
(wire (pts (xy 83.82 359.41) (xy 83.82 370.84))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 934c5f28-c928-4621-8122-b999b3ed10dd)
)
(wire (pts (xy 330.2 259.08) (xy 330.2 251.46))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 93afd2e8-e16c-4e06-b872-cf0e624aee35)
)
(wire (pts (xy 551.18 332.74) (xy 551.18 358.14))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 94a10cae-6ef2-4b64-9d98-fb22aa3306cc)
)
(wire (pts (xy 191.77 337.82) (xy 191.77 354.33))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9600911d-0df3-419b-8d4a-8d1432a7daf2)
)
(wire (pts (xy 66.04 107.95) (xy 68.58 107.95))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 96315415-cfed-47d2-b3dd-d782358bd0df)
)
(wire (pts (xy 420.37 227.33) (xy 420.37 238.76))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9640e044-e4b2-4c33-9e1c-1d9894a69337)
)
(wire (pts (xy 214.63 158.75) (xy 214.63 181.61))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9666bb6a-0c1d-4c92-be6d-94a465ec5c51)
)
(wire (pts (xy 316.23 73.66) (xy 311.15 73.66))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 96781640-c07e-4eea-a372-067ded96b703)
)
(wire (pts (xy 581.66 332.74) (xy 581.66 317.5))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 968a6172-7a4e-40ab-a78a-e4d03671e136)
)
(wire (pts (xy 419.1 364.49) (xy 398.78 364.49))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 96ee9b8e-4543-4639-b9ea-44b8baaaf94e)
)
(wire (pts (xy 398.78 337.82) (xy 398.78 354.33))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 97cc05bf-4ed5-449c-b0c8-131e5126a7ac)
)
(wire (pts (xy 196.85 228.6) (xy 196.85 223.52))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9959c68a-7d2a-4f14-b245-3548992673f3)
)
(wire (pts (xy 387.35 323.85) (xy 369.57 323.85))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9a458d6a-a84c-4faf-913e-90bab231d3f8)
)
(wire (pts (xy 179.07 116.84) (xy 179.07 115.57))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9a88d63d-f7e5-416d-9807-a8e942aef287)
)
(wire (pts (xy 186.69 312.42) (xy 186.69 322.58))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9b315454-a4a0-4952-bdbe-d4a8e96c16f9)
)
(wire (pts (xy 490.22 368.3) (xy 500.38 368.3))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9bac5a37-2a55-41dd-96ea-ec02b69e3ef4)
)
(wire (pts (xy 294.64 180.34) (xy 314.96 180.34))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9cdaf74c-bd9d-4293-9612-c30a4bca9a30)
)
(wire (pts (xy 123.19 207.01) (xy 412.75 207.01))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9d541d6f-313d-4469-a000-68242c1dd6d6)
)
(wire (pts (xy 586.74 332.74) (xy 586.74 317.5))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9da1ace0-4181-4f12-80f8-16786a9e5c07)
)
(wire (pts (xy 412.75 223.52) (xy 419.1 223.52))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9e18f8b3-9e1a-4022-9224-10c12ca8a28d)
)
(wire (pts (xy 173.99 115.57) (xy 173.99 127))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9e2492fd-e074-42db-8129-fe39460dc1e0)
)
(wire (pts (xy 346.71 184.15) (xy 346.71 187.96))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9e427954-2486-4c91-89b5-6af73a073442)
)
(wire (pts (xy 439.42 168.91) (xy 439.42 147.32))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9f95f1fc-aa31-4ce6-996a-4b385731d8eb)
)
(wire (pts (xy 614.68 332.74) (xy 614.68 325.12))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9fdca5c2-1fbd-4774-a9c3-8795a40c206d)
)
(wire (pts (xy 361.95 364.49) (xy 342.9 364.49))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a08c061a-7f5b-4909-b673-0d0a59a012a3)
)
(wire (pts (xy 416.56 163.83) (xy 412.75 163.83))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a1b97586-5ccb-4d4b-808f-ce5452376c86)
)
(wire (pts (xy 379.73 312.42) (xy 379.73 321.31))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a1d977e9-aa2c-4b7a-b2e3-8ff3b816e1f2)
)
(wire (pts (xy 607.06 325.12) (xy 607.06 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a239fd1d-dfbb-49fd-b565-8c3de9dcf42b)
)
(wire (pts (xy 113.03 60.96) (xy 125.73 60.96))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a2a0f5cc-b5aa-4e3e-8d85-23bdc2f59aec)
)
(wire (pts (xy 406.4 323.85) (xy 406.4 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a2a4b1ad-c51a-492d-9e99-410eec4f55a3)
)
(wire (pts (xy 43.18 107.95) (xy 49.53 107.95))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a2d090b5-bdc2-4863-87f2-2ea46a246d3d)
)
(wire (pts (xy 312.42 325.12) (xy 308.61 325.12))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a353a360-a1da-42d3-a5f2-38aafc184a50)
)
(wire (pts (xy 250.19 172.72) (xy 255.27 172.72))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a48f5fff-52e4-4ae8-8faa-7084c7ae8a28)
)
(wire (pts (xy 369.57 323.85) (xy 369.57 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a4a80e68-9a9c-4dac-84a7-a9f3c47a0961)
)
(wire (pts (xy 552.45 175.26) (xy 552.45 172.72))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a5fcd820-f4f0-487d-8e2f-6defe7618982)
)
(wire (pts (xy 430.53 233.68) (xy 412.75 233.68))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a6187c22-3622-4a1a-a49a-b21e96986f96)
)
(wire (pts (xy 39.37 59.69) (xy 39.37 63.5))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a61a12e1-9c89-4f96-a753-2e9b06d4747e)
)
(wire (pts (xy 601.98 332.74) (xy 601.98 325.12))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a686ed7c-c2d1-4d29-9d54-727faf9fd6bf)
)
(wire (pts (xy 133.35 364.49) (xy 115.57 364.49))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a7c83b25-afbd-4974-8870-387db8f81a5c)
)
(wire (pts (xy 463.55 322.58) (xy 463.55 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a7cad282-51c3-4f24-be5e-311c2c5e959b)
)
(wire (pts (xy 548.64 358.14) (xy 548.64 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a7fc0812-140f-4d96-9cd8-ead8c1c610b1)
)
(wire (pts (xy 474.98 364.49) (xy 455.93 364.49))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a819bf9a-0c8b-443a-b488-e5f1395d77ad)
)
(wire (pts (xy 420.37 240.03) (xy 420.37 238.76))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid aae6bc05-6036-4fc6-8be7-c70daf5c8932)
)
(wire (pts (xy 87.63 332.74) (xy 87.63 321.31))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid aaf0fd50-bb22-4408-be5a-88f5ba4193be)
)
(wire (pts (xy 439.42 147.32) (xy 433.07 147.32))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ab0ea55a-63b3-4ece-836d-2844713a821f)
)
(wire (pts (xy 210.82 354.33) (xy 210.82 337.82))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ac8576da-4e00-41a0-9609-eb655e96e10b)
)
(wire (pts (xy 83.82 332.74) (xy 87.63 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid acd72527-a657-482d-a530-89a1347375fc)
)
(wire (pts (xy 95.25 320.04) (xy 64.77 320.04))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid acfcaba7-a8b8-4c21-a793-d3e0373f34dc)
)
(wire (pts (xy 104.14 369.57) (xy 104.14 359.41))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ad09de7f-a090-4e65-951a-7cf11f73b06d)
)
(wire (pts (xy 599.44 326.39) (xy 599.44 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ad4d05f5-6957-42f8-b65c-c657b9a26485)
)
(wire (pts (xy 294.64 359.41) (xy 294.64 370.84))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ae293969-fa6d-4cb1-9969-16f8784d07e3)
)
(wire (pts (xy 125.73 60.96) (xy 125.73 58.42))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ae8bb5ae-95ee-4e2d-8a0c-ae5b6149b4e3)
)
(wire (pts (xy 589.28 317.5) (xy 589.28 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid af186015-d283-4209-aade-a247e5de01df)
)
(wire (pts (xy 179.07 372.11) (xy 179.07 359.41))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b14aea3f-7e9b-4416-ac0e-1c7beb3cd27c)
)
(wire (pts (xy 152.4 364.49) (xy 133.35 364.49))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b1731e91-7698-42fa-ad60-5c60fdd0e1fc)
)
(wire (pts (xy 113.03 55.88) (xy 113.03 53.34))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b1ba92d5-0d41-4be9-b483-47d08dc1785d)
)
(wire (pts (xy 60.96 49.53) (xy 101.6 49.53))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b2cac11a-5f3b-43d7-88e5-8d0241ac6453)
)
(wire (pts (xy 467.36 321.31) (xy 445.77 321.31))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b31ebd25-cf4c-4c3e-b83d-0ec793b65cd9)
)
(wire (pts (xy 171.45 143.51) (xy 171.45 149.86))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b35313fc-0844-4711-abfd-27d3f3cac165)
)
(wire (pts (xy 439.42 223.52) (xy 419.1 223.52))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b42a4498-7f71-4787-a0f1-b44423616ac9)
)
(wire (pts (xy 370.84 234.95) (xy 370.84 242.57))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b606e532-e4c7-444d-b9ff-879f52cfde92)
)
(wire (pts (xy 113.03 76.2) (xy 127 76.2))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b66b83a0-313f-4b03-b851-c6e9577a6eb7)
)
(wire (pts (xy 369.57 369.57) (xy 369.57 359.41))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b6f041a4-3ea0-418b-94a2-50c938beafa2)
)
(wire (pts (xy 270.51 116.84) (xy 281.94 116.84))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b70f4be0-be81-40f1-b237-a16be3740211)
)
(wire (pts (xy 311.15 83.82) (xy 311.15 88.9))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b7496a40-6116-4192-b413-2a22be4b5f9f)
)
(wire (pts (xy 43.18 118.11) (xy 43.18 116.84))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b7aa0362-7c9e-4a42-b191-ab15a38bf3c5)
)
(wire (pts (xy 39.37 327.66) (xy 35.56 327.66))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b7dfd91c-6180-48d0-832a-f6a5a032a686)
)
(wire (pts (xy 46.99 332.74) (xy 46.99 317.5))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b7ed4c31-5417-4fb5-9261-7dca42c1c776)
)
(wire (pts (xy 370.84 180.34) (xy 384.81 180.34))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b8381d48-3c5b-401b-ac19-279d8173864c)
)
(wire (pts (xy 445.77 321.31) (xy 445.77 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b8382866-f10b-4adc-84fc-f6e5dd44681b)
)
(wire (pts (xy 96.52 337.82) (xy 96.52 354.33))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b83b087e-7ec9-44e7-a1c9-81d5d26bbf79)
)
(wire (pts (xy 250.19 181.61) (xy 250.19 177.8))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b853d9ac-7829-468f-99ac-dc9996502e94)
)
(wire (pts (xy 387.35 332.74) (xy 387.35 325.12))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b9f8b708-1745-43ec-9646-59495cbc6e07)
)
(wire (pts (xy 125.73 63.5) (xy 113.03 63.5))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ba116096-3ccc-4cc8-a185-5325439e4e24)
)
(wire (pts (xy 387.35 359.41) (xy 387.35 369.57))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid baa534a0-611b-4c48-8e86-5106dc852bd8)
)
(wire (pts (xy 46.99 317.5) (xy 87.63 317.5))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid bb5e8a0f-2ed5-4c2a-91b7-cb63c4c66e15)
)
(wire (pts (xy 312.42 368.3) (xy 312.42 359.41))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid bb673c7a-d2b0-45b0-bfe2-0b113c092a77)
)
(wire (pts (xy 591.82 332.74) (xy 591.82 317.5))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid bb8162f0-99c8-4884-be5b-c0d0c7e81ff6)
)
(wire (pts (xy 123.19 332.74) (xy 123.19 322.58))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid bbb99edd-f016-43ea-b1c7-0bcdd1915ee8)
)
(wire (pts (xy 137.16 219.71) (xy 123.19 219.71))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid bc01f3e7-a131-4f66-8abc-cc13e855d5e5)
)
(wire (pts (xy 474.98 327.66) (xy 455.93 327.66))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid bc204c79-0619-4b16-889d-335bfdd71ce0)
)
(wire (pts (xy 92.71 71.12) (xy 92.71 68.58))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid bc3b3f93-69e0-44a5-b919-319b81d13095)
)
(wire (pts (xy 257.81 247.65) (xy 278.13 247.65))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid bca99a8e-598f-436a-9158-7a050d1f7ca4)
)
(wire (pts (xy 210.82 196.85) (xy 210.82 214.63))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid be118b00-015b-445a-8fc5-7bf35350fda8)
)
(wire (pts (xy 57.15 118.11) (xy 43.18 118.11))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid bef2abc2-bf3e-4a72-ad03-f8da3cd893cb)
)
(wire (pts (xy 562.61 140.97) (xy 552.45 140.97))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid bf67f245-1714-4d39-b76d-53f1523ab5f8)
)
(wire (pts (xy 125.73 66.04) (xy 139.7 66.04))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c02abeb6-6f02-4b75-8780-37c16efba234)
)
(wire (pts (xy 214.63 181.61) (xy 250.19 181.61))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c10ace36-a93c-4c08-ac75-059ef9e1f71c)
)
(wire (pts (xy 255.27 321.31) (xy 255.27 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c11e04e4-f63f-46b9-9a9c-9c7df49e614a)
)
(wire (pts (xy 552.45 135.89) (xy 552.45 133.35))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c14f4f41-991c-47f8-ba74-4a4e89170acf)
)
(wire (pts (xy 617.22 364.49) (xy 617.22 358.14))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c15b2f75-2e10-4b71-bebb-e2b872171b92)
)
(wire (pts (xy 312.42 332.74) (xy 312.42 325.12))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c202ddee-78ab-4ebb-beca-559aaf118430)
)
(wire (pts (xy 309.88 113.03) (xy 312.42 113.03))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c220da05-2a98-47be-9327-0c73c5263c41)
)
(wire (pts (xy 586.74 332.74) (xy 586.74 358.14))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c2dd13db-24b6-40f1-b75b-b9ab893d92ea)
)
(wire (pts (xy 139.7 104.14) (xy 130.81 104.14))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c3f5d3dc-d789-459c-9db6-cae5fb6a97f5)
)
(wire (pts (xy 581.66 332.74) (xy 581.66 358.14))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c401e9c6-1deb-4979-99be-7c801c952098)
)
(wire (pts (xy 552.45 180.34) (xy 552.45 175.26))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c546008e-7661-419e-94b3-0bbb9fd14ec8)
)
(wire (pts (xy 474.98 312.42) (xy 474.98 322.58))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c860c4e9-3ddd-4065-857c-b9aedc01e6ad)
)
(wire (pts (xy 492.76 354.33) (xy 492.76 337.82))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c8b93f12-bc5c-4ce5-b954-377d903895f1)
)
(wire (pts (xy 167.64 77.47) (xy 167.64 124.46))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c9ab240f-b898-4113-9b58-995237cd751a)
)
(wire (pts (xy 88.9 382.27) (xy 88.9 377.19))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ca2c5f3f-362b-4808-b8c2-86726d31aa11)
)
(wire (pts (xy 372.11 66.04) (xy 372.11 73.66))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ca5b6af8-ca05-4338-b852-b51f2b49b1db)
)
(wire (pts (xy 552.45 140.97) (xy 552.45 135.89))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ccd45da3-3d73-496d-8f2e-5edf69377f63)
)
(wire (pts (xy 199.39 359.41) (xy 199.39 369.57))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid cce1404b-fc30-47cc-b852-e0061990f2bb)
)
(wire (pts (xy 53.34 71.12) (xy 53.34 68.58))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid cec22d4a-eda3-4d50-8609-c3a123c120be)
)
(wire (pts (xy 176.53 123.19) (xy 210.82 123.19))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid cfdef906-c924-4492-999d-4de066c0bce1)
)
(wire (pts (xy 455.93 327.66) (xy 438.15 327.66))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d04eabf5-018b-4006-a739-ce16277681b7)
)
(wire (pts (xy 426.72 318.77) (xy 462.28 318.77))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d1422f38-9fce-4f5e-878a-341530beaf9c)
)
(wire (pts (xy 210.82 196.85) (xy 222.25 196.85))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d1441985-7b63-4bf8-a06d-c70da2e3b78b)
)
(wire (pts (xy 576.58 332.74) (xy 576.58 358.14))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d1c19c11-0a13-4237-b6b4-fb2ef1db7c6d)
)
(wire (pts (xy 594.36 332.74) (xy 594.36 358.14))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d1cd5391-31d2-459f-8adb-4ae3f304a833)
)
(wire (pts (xy 281.94 113.03) (xy 280.67 113.03))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d27bd75e-eeb9-4d8b-bfdb-bddce4b94b6c)
)
(wire (pts (xy 609.6 332.74) (xy 609.6 325.12))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d32956af-146b-4a09-a053-d9d64b8dd86d)
)
(wire (pts (xy 275.59 332.74) (xy 275.59 322.58))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d3dd0ba2-2496-4e95-8d54-12ee57bcbce2)
)
(wire (pts (xy 566.42 332.74) (xy 566.42 317.5))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d3dd7cdb-b730-487d-804d-99150ba318ef)
)
(wire (pts (xy 331.47 359.41) (xy 331.47 374.65))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d4876469-b949-49ce-b8fe-43cb458692a4)
)
(wire (pts (xy 342.9 354.33) (xy 342.9 337.82))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d554632b-6dd0-47f8-b59b-3ce25177ca3e)
)
(wire (pts (xy 412.75 168.91) (xy 439.42 168.91))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d5eb7c6e-b098-49b0-b366-c8b7c67afed0)
)
(wire (pts (xy 290.83 368.3) (xy 275.59 368.3))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d68589fa-205b-4356-a20d-821c85f5f45e)
)
(wire (pts (xy 57.15 337.82) (xy 57.15 354.33))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d70bfdec-de0f-45e5-9452-2cd5d12b83b9)
)
(wire (pts (xy 115.57 91.44) (xy 130.81 91.44))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d7103283-4f78-4107-8d8e-86ccec622a8a)
)
(wire (pts (xy 372.11 58.42) (xy 372.11 63.5))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d72c89a6-7578-4468-964e-2a845431195f)
)
(wire (pts (xy 455.93 354.33) (xy 455.93 337.82))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d7df1f01-3f56-437b-a452-e88ad90a9805)
)
(wire (pts (xy 589.28 358.14) (xy 589.28 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d8200a86-aa75-47a3-ad2a-7f4c9c999a6f)
)
(wire (pts (xy 379.73 364.49) (xy 361.95 364.49))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d8f24303-7e52-49a9-9e82-8d60c3aaa009)
)
(wire (pts (xy 118.11 322.58) (xy 118.11 312.42))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d9198b20-68ab-4f03-9039-95a74aeba0d6)
)
(wire (pts (xy 462.28 318.77) (xy 462.28 312.42))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d91b4df3-08ca-4c95-92de-3004566cf2e7)
)
(wire (pts (xy 298.45 370.84) (xy 298.45 383.54))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d9ad01c4-9416-4b1f-8447-afc1d446fa8a)
)
(wire (pts (xy 255.27 172.72) (xy 257.81 172.72))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d9cf2d61-3126-40fe-a66d-ae5145f94be8)
)
(wire (pts (xy 288.29 139.7) (xy 312.42 139.7))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid da37a168-b259-4f98-9030-90f2f5ac962a)
)
(wire (pts (xy 88.9 377.19) (xy 46.99 377.19))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid da7e6488-201f-4286-b86a-ca5aced3697a)
)
(wire (pts (xy 127 76.2) (xy 127 71.12))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid dad2f9a9-292b-4f7e-9524-a263f3c1ba74)
)
(wire (pts (xy 346.71 217.17) (xy 370.84 217.17))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid db532ed2-914c-41b4-b389-de2bf235d0a7)
)
(wire (pts (xy 110.49 323.85) (xy 104.14 323.85))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid dbfb14d7-1f97-4dd2-9004-1d129d3b4221)
)
(wire (pts (xy 171.45 115.57) (xy 171.45 124.46))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid dd1edfbb-5fb6-42cd-b740-fd54ab3ef1f1)
)
(wire (pts (xy 543.56 361.95) (xy 543.56 358.14))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid dd70858b-2f9a-4b3f-9af5-ead3a9ba57e9)
)
(wire (pts (xy 394.97 325.12) (xy 394.97 312.42))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid de2abbd8-9b48-47ba-b77e-4c65ca048af6)
)
(wire (pts (xy 160.02 322.58) (xy 160.02 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid de5c2064-b9e1-4057-a8cc-9308019ef4d3)
)
(wire (pts (xy 113.03 58.42) (xy 125.73 58.42))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid dec284d9-246c-4619-8dcc-8f4886f9349e)
)
(wire (pts (xy 566.42 332.74) (xy 566.42 358.14))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid df83f395-2d18-47e2-a370-952ca41c2b3a)
)
(wire (pts (xy 309.88 118.11) (xy 312.42 118.11))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e000728f-e3c5-4fc4-86af-db9ceb3a6542)
)
(wire (pts (xy 412.75 184.15) (xy 412.75 207.01))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e002a979-85bc-451a-a77b-29ce2a8f19f9)
)
(wire (pts (xy 173.99 127) (xy 255.27 127))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e04b8c10-725b-4bde-8cbf-66bfea5053e6)
)
(wire (pts (xy 182.88 332.74) (xy 182.88 323.85))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e0781b80-6f1b-4d08-b53f-b7d3f582e2ea)
)
(wire (pts (xy 375.92 219.71) (xy 375.92 227.33))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e0b0947e-ec91-4d8a-8663-5a112b0a8541)
)
(wire (pts (xy 420.37 218.44) (xy 412.75 218.44))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e1df8cea-32a4-457d-86df-d8e326022a52)
)
(wire (pts (xy 548.64 332.74) (xy 548.64 317.5))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e45aa7d8-0254-4176-afd9-766820762e19)
)
(wire (pts (xy 294.64 325.12) (xy 294.64 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e463ba2a-1cbc-4995-82d8-59710b3fcd2f)
)
(wire (pts (xy 167.64 77.47) (xy 283.21 77.47))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e4d0483b-1c21-4fb6-87dd-47e636746c0e)
)
(wire (pts (xy 561.34 332.74) (xy 561.34 358.14))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e50c80c5-80c4-46a3-8c1e-c9c3a71a0934)
)
(wire (pts (xy 379.73 321.31) (xy 350.52 321.31))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e5889358-36b5-4652-9d71-4d4aa652a144)
)
(wire (pts (xy 96.52 373.38) (xy 96.52 382.27))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e62e65e6-b466-4769-8746-eb8cd9450c76)
)
(wire (pts (xy 113.03 71.12) (xy 92.71 71.12))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e65bab67-68b7-4b22-a939-6f2c05164d2a)
)
(wire (pts (xy 110.49 312.42) (xy 110.49 323.85))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e6cd2cdd-d49b-4491-8a15-4c46254b5c0a)
)
(wire (pts (xy 419.1 354.33) (xy 419.1 337.82))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e6e468d8-2bb7-49d5-a4d0-fde0f6bbe8c6)
)
(wire (pts (xy 419.1 203.2) (xy 304.8 203.2))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e7376da1-2f59-4570-81e8-46fca0289df0)
)
(wire (pts (xy 53.34 54.61) (xy 50.8 54.61))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e76ec524-408a-4daa-89f6-0edfdbcfb621)
)
(wire (pts (xy 210.82 214.63) (xy 330.2 214.63))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e8312cc4-6502-4783-b578-55c01e0393af)
)
(wire (pts (xy 130.81 82.55) (xy 130.81 73.66))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e89e5b16-554a-4d97-8f95-fc89c9b40d74)
)
(wire (pts (xy 166.37 218.44) (xy 165.1 218.44))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e9597133-3d67-41f8-aabc-5b61d8d3c3c1)
)
(wire (pts (xy 276.86 154.94) (xy 369.57 154.94))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ea020aa6-c820-47b1-bdf7-82790dcca121)
)
(wire (pts (xy 374.65 63.5) (xy 372.11 63.5))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ea2ea877-1ce1-4cd6-ad19-1da87f51601d)
)
(wire (pts (xy 111.76 369.57) (xy 111.76 382.27))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ea8efd53-9e19-4e37-86f5-e6c0c681f735)
)
(wire (pts (xy 57.15 118.11) (xy 57.15 115.57))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid eaa0d51a-ee4e-4d3a-a801-bddb7027e94c)
)
(wire (pts (xy 60.96 71.12) (xy 92.71 71.12))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid eb473bfd-fc2d-4cf0-8714-6b7dd95b0a03)
)
(wire (pts (xy 222.25 173.99) (xy 213.36 173.99))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid eb6a726e-fed9-4891-95fa-b4d4a5f77b35)
)
(wire (pts (xy 119.38 367.03) (xy 119.38 382.27))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ec13b96e-bc69-4de2-80ef-a515cc44afb5)
)
(wire (pts (xy 474.98 322.58) (xy 463.55 322.58))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ed1f5df2-cfb6-4083-a9e5-5d196546ef9b)
)
(wire (pts (xy 171.45 327.66) (xy 152.4 327.66))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ed247857-b2a3-4b23-90ad-758c01ae5e8e)
)
(wire (pts (xy 551.18 49.53) (xy 551.18 54.61))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ed6caead-58a0-4a37-97cf-621d3ffb0ca4)
)
(wire (pts (xy 236.22 318.77) (xy 236.22 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ed9596e5-f4f2-4fc2-bb34-16ad21b3b120)
)
(wire (pts (xy 406.4 369.57) (xy 406.4 359.41))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid edb2db40-12f7-45b3-a514-2a1299ac0231)
)
(wire (pts (xy 96.52 327.66) (xy 76.2 327.66))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ee9a2826-2513-480e-a552-3d07af5bf8a5)
)
(wire (pts (xy 110.49 67.31) (xy 110.49 68.58))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid efd79052-e146-4d61-9e0a-ba764a5a966b)
)
(wire (pts (xy 314.96 165.1) (xy 304.8 165.1))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f030cfe8-f922-4a12-a58d-2ff6e60a9bb9)
)
(wire (pts (xy 433.07 147.32) (xy 213.36 147.32))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f0e6fae4-0008-43ed-8719-bf62839f601f)
)
(wire (pts (xy 184.15 377.19) (xy 140.97 377.19))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f1128c56-7c01-4d79-834b-ceab4dc35180)
)
(wire (pts (xy 104.14 369.57) (xy 111.76 369.57))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f11a78b7-152e-46cf-81d1-bc8194db05a9)
)
(wire (pts (xy 96.52 364.49) (xy 76.2 364.49))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f1c2e9b0-6f9f-485b-b482-d408df476d0f)
)
(wire (pts (xy 283.21 372.11) (xy 283.21 383.54))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f205e125-3760-485b-b76a-dc2502dc5679)
)
(wire (pts (xy 561.34 317.5) (xy 561.34 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f23ac723-a36d-491d-9473-7ec0ffed332d)
)
(wire (pts (xy 553.72 358.14) (xy 553.72 332.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f33ec0db-ef0f-4576-8054-2833161a8f30)
)
(wire (pts (xy 199.39 383.54) (xy 199.39 372.11))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f364b99f-4502-4cba-a96d-4ed35ad108b5)
)
(wire (pts (xy 104.14 370.84) (xy 83.82 370.84))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f413d088-6fb9-4a8a-88fd-666ff68b7fdf)
)
(wire (pts (xy 311.15 76.2) (xy 322.58 76.2))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f45c8190-2f27-434c-8fbf-7d8a911faaab)
)
(wire (pts (xy 255.27 127) (xy 255.27 172.72))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f4aae365-6c70-41da-9253-52b239e8f5e6)
)
(wire (pts (xy 125.73 55.88) (xy 113.03 55.88))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f503ea07-bcf1-4924-930a-6f7e9cd312f8)
)
(wire (pts (xy 398.78 327.66) (xy 379.73 327.66))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f565cf54-67ba-4424-8d47-087433645499)
)
(wire (pts (xy 87.63 317.5) (xy 87.63 312.42))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f58fca4c-73af-416f-b236-f3bb62b8fd00)
)
(wire (pts (xy 69.85 59.69) (xy 68.58 59.69))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f5dba25f-5f9b-4770-84f9-c038fb119360)
)
(wire (pts (xy 255.27 372.11) (xy 283.21 372.11))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f60d71f9-9a8e-4a62-960d-f7b9664aea76)
)
(wire (pts (xy 492.76 364.49) (xy 474.98 364.49))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f66bb685-9833-454c-bf31-b96598f50347)
)
(wire (pts (xy 617.22 332.74) (xy 617.22 325.12))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f6a5c856-f2b5-40eb-a958-b666a0d408a0)
)
(wire (pts (xy 345.44 163.83) (xy 342.9 163.83))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f753d3ee-689c-4dd5-a288-b018ad927185)
)
(wire (pts (xy 228.6 327.66) (xy 210.82 327.66))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f7758f2a-e5c9-405c-960a-353b36eaf72d)
)
(wire (pts (xy 64.77 373.38) (xy 96.52 373.38))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f7c5fcef-379b-481f-a910-961b8aba9e9d)
)
(wire (pts (xy 191.77 364.49) (xy 171.45 364.49))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f8a90052-1a8b-4ce5-a1fd-87db944dceac)
)
(wire (pts (xy 125.73 66.04) (xy 125.73 63.5))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f934a442-23d6-4e5b-908f-bb9199ad6f8b)
)
(wire (pts (xy 438.15 327.66) (xy 419.1 327.66))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid fab985e9-e679-4dd8-a59c-e3195d08506a)
)
(wire (pts (xy 113.03 60.96) (xy 113.03 58.42))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid fb0b1440-18be-4b5f-b469-b4cfaf66fc53)
)
(wire (pts (xy 384.81 219.71) (xy 375.92 219.71))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid fcfb3f77-487d-44de-bd4e-948fbeca3220)
)
(wire (pts (xy 375.92 227.33) (xy 420.37 227.33))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid fd29cce5-2d5d-4676-956a-df49a3c13d23)
)
(wire (pts (xy 123.19 219.71) (xy 123.19 220.98))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid fd34aa56-ded2-4e97-965a-a39457716f0c)
)
(wire (pts (xy 344.17 179.07) (xy 342.9 179.07))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid fda94f0a-876e-4bf0-ad10-35819851e3e9)
)
(wire (pts (xy 101.6 49.53) (xy 101.6 124.46))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid fdd41a68-206a-4076-b64a-8b7633d428d6)
)
(wire (pts (xy 287.02 354.33) (xy 287.02 337.82))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid fe1ad3bd-92cc-4e1c-8cc9-a77278095945)
)
(wire (pts (xy 125.73 53.34) (xy 125.73 55.88))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid fe6d9604-2924-4f38-950b-a31e8a281973)
)
(text "12V" (at 129.54 60.96 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 72366acb-6c86-4134-89df-01ed6e4dc8e0)
)
(text "GND" (at 129.54 66.04 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 7274c82d-0cb9-47de-b093-7d848f491410)
)
(text "GND" (at 134.62 104.14 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid d869aea6-5f71-4988-a2b9-d46776a88096)
)
(label "Data_out" (at 173.99 124.46 90)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 290c753b-3b9b-4c45-85a5-65bd9eae1f9e)
)
(label "12V" (at 35.56 327.66 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 72f9157b-77da-4a6d-9880-0711b21f6e23)
)
(label "GND" (at 33.02 364.49 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 7d2422a2-6679-4b2f-b253-47eef0da2414)
)
(label "ResetIn" (at 113.03 71.12 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 82204892-ec79-4d38-a593-52fb9a9b4b87)
)
(label "Data_40" (at 176.53 123.19 90)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 8a0095e3-f64e-4bc6-8d5a-1cdcee192b11)
)
(label "Data_in" (at 171.45 123.19 90)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 90b3e3a5-04e0-491b-97bf-2e8a21e1833b)
)
(global_label "BLN" (shape input) (at 238.76 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 00627221-b0fd-448e-b5a6-250d249697c2)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLH" (shape input) (at 571.5 82.55 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 00c9c1c9-df78-4bf8-a378-9edee7dafbe3)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IH1" (shape input) (at 483.87 38.1 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 00e39da0-4b3e-4884-a91e-86d729914953)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Reset" (shape input) (at 222.25 200.66 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 01024d27-e392-4482-9e67-565b0c294fe8)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IH8" (shape input) (at 481.33 118.11 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 01600802-66c5-45a2-be7f-4fa2327d845b)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BL9" (shape input) (at 370.84 383.54 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 0208dcec-5844-41d6-8382-4437ac8ac82d)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "YB" (shape input) (at 607.06 364.49 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 022502e0-e724-4b75-bc35-3c5984dbeb76)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "X9" (shape input) (at 323.85 345.44 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 02491520-945f-40c4-9160-4e5db9ac115d)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHC" (shape input) (at 295.91 312.42 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 03d57b22-a0ad-4d3d-9d1c-5573371e6c2f)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "ILD" (shape input) (at 430.53 184.15 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 0452da17-4ccf-4bdc-9fc3-b0a09600bd55)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "X3" (shape input) (at 586.74 317.5 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 0554bea0-89b2-4e25-9ea3-4c73921c94cb)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "XJ" (shape input) (at 152.4 345.44 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 056788ec-4ecf-4826-b996-bd884a6442a0)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "ILJ" (shape input) (at 346.71 246.38 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 059f4155-bed3-4fb2-9baa-d569f31b7e5d)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BL1" (shape input) (at 504.19 40.64 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 064853d1-fee5-4dc2-a187-8cbdd26d3919)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLC" (shape input) (at 501.65 156.21 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 0667208e-872f-444a-9ed0-78a1b5f392d2)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Com" (shape input) (at 228.6 424.18 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 0674c5a1-ca4b-4b6b-aa60-3847e1a37d52)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IHH" (shape input) (at 551.18 46.99 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 0844b132-5386-469c-86ff-d527c8a00608)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IL2" (shape input) (at 336.55 115.57 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 08d1dac8-0d6e-4029-9a06-c8863d7fbd51)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLM" (shape input) (at 114.3 382.27 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 09321bf4-1ea1-49b5-b1f9-ac29d6606a74)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IHK" (shape input) (at 551.18 85.09 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 09741e1c-c412-4f50-b5b7-03d5820a1bad)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLK" (shape input) (at 571.5 87.63 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 098afe52-27f0-4ec0-bf39-4eb766d2a851)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Y5" (shape input) (at 614.68 364.49 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 09bbea88-8bd7-48ec-baae-1b4a9a11a40e)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Com" (shape input) (at 327.66 424.18 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 0aa1e38d-f07a-4820-b628-a171234563bb)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLH" (shape input) (at 295.91 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 0ba3fcf8-07bd-443d-be28-f69a4ad80df4)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BH6" (shape input) (at 502.92 86.36 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 0c75753f-ac98-42bf-95d0-ee8de408989d)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "XH" (shape input) (at 551.18 317.5 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 0ce1dd44-f307-4f98-9f0d-478fd87daa64)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Y7" (shape input) (at 612.14 364.49 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 0fb27e11-fde6-4a25-adbb-e9684771b369)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BH9" (shape input) (at 374.65 312.42 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 0fe3ebe2-61a9-477a-a657-d783c4c4d70e)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHD" (shape input) (at 342.9 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 11547ba3-d459-4ced-9333-92979d5b86e1)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Com" (shape input) (at 501.65 151.13 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 14a3cbec-b1b9-4736-8e00-ba5be98954ab)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BL8" (shape input) (at 378.46 383.54 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 1569382e-a4f5-4166-a19c-b78580f8c980)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Y7" (shape input) (at 125.73 40.64 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 165f4d8d-26a9-4cf2-a8d6-9936cd983be4)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BL5" (shape input) (at 502.92 83.82 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 168e91de-8892-4570-a62e-0a6a88daec47)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IH7" (shape input) (at 482.6 88.9 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 172b515f-13aa-42a2-b6ac-db67c2e524e7)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Clock" (shape input) (at 283.21 73.66 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 1843d2c0-629c-44e7-8460-03ced60a2111)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IL1" (shape input) (at 483.87 40.64 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 18b6dcb6-5ab3-481b-b998-33e8cf6d281f)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHO" (shape input) (at 572.77 160.02 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 1aaf34a3-282e-4633-82fa-9d6cdf32efbb)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BH1" (shape input) (at 504.19 38.1 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 1ba3e338-9465-4844-8361-6715d7885c15)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHF" (shape input) (at 332.74 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 1c7ec62e-d96c-4a0d-ac32-e919b90a3c5b)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Clock" (shape input) (at 222.25 170.18 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 1d0d5161-c82f-4c77-a9ca-15d017db65d3)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IHA" (shape input) (at 342.9 161.29 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 1d20c966-0439-42a1-b5e3-5e76b52f827f)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLE" (shape input) (at 278.13 383.54 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 1d2d8ec8-1f1b-4d06-9a35-eff8e386bdb8)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHP" (shape input) (at 90.17 312.42 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 1d6518e1-cfe9-4078-adc2-cf8e6477b5cb)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLN" (shape input) (at 572.77 125.73 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 1ec648ca-df29-4910-86ed-6f48e345dbdb)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Com" (shape input) (at 283.21 424.18 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 1f01b2a1-9ae4-4793-9d17-5ed5c0966b9f)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IL8" (shape input) (at 481.33 120.65 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 200b738a-50e9-4f57-b197-9a6a0ae11af3)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Reset" (shape input) (at 314.96 184.15 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 2026567f-be64-41dd-8011-b0897ba0ff2e)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHG" (shape input) (at 303.53 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 2056f16f-2d4a-4f35-8a56-49ab69eeef16)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHE" (shape input) (at 501.65 171.45 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 217a6ab0-8c75-4e09-8113-c7b7b906da43)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLF" (shape input) (at 217.17 383.54 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 22614aba-2c26-4590-8e12-a7a6b6de48de)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "ILG" (shape input) (at 267.97 222.25 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 2276bf47-b441-4aa2-ba22-8213875ce0ee)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "XL" (shape input) (at 543.56 361.95 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 247ebffd-2cb6-4379-ba6e-21861fea3913)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHA" (shape input) (at 501.65 128.27 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 24d3ee68-60f0-4c8a-a72b-065f1026fd87)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "X6" (shape input) (at 379.73 345.44 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 25625d99-d45f-4b2f-9e62-009a122611f4)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IL3" (shape input) (at 482.6 73.66 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 25b39db8-8576-4473-b331-b912323e85f4)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "X1" (shape input) (at 591.82 317.5 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 275b6416-db29-42cc-9307-bf426917c3b4)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "XP" (shape input) (at 39.37 345.44 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 278deae2-fb37-4957-b2cb-afac30cacb12)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "XM" (shape input) (at 96.52 345.44 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 27e3c71f-5a63-4710-8adf-b600b805ce02)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IHC" (shape input) (at 481.33 158.75 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 29f4961c-cbd7-42a0-91e7-8ae77405e061)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Vin" (shape input) (at 107.95 67.31 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 2b1a1d99-4ea2-4cae-846a-5609aadc4265)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Y4" (shape input) (at 614.68 325.12 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 2b25e886-ded1-450a-ada1-ece4208052e4)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IHB" (shape input) (at 342.9 166.37 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 2dba072b-3aba-4c6e-8dad-0c854cc5ab37)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "X7" (shape input) (at 361.95 345.44 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 2edc487e-09a5-4e4e-9675-a7b323f56380)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Y9" (shape input) (at 609.6 364.49 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 2eea20e6-112c-411a-b615-885ae773135a)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Clock" (shape input) (at 314.96 161.29 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 2f0570b6-86da-47a8-9e56-ce60c431c534)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHJ" (shape input) (at 293.37 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 2f29ffe5-cbdc-4a3f-81e6-c7d9f4c5145a)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHH" (shape input) (at 571.5 46.99 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 3019c847-3ccf-490a-9dd6-694227c3fba5)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Reset" (shape input) (at 384.81 238.76 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 30b75c25-1d2c-45e7-83e2-bb3be98f8f83)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Y8" (shape input) (at 609.6 325.12 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 319c683d-aed6-4e7d-aee2-ff9871746d52)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHK" (shape input) (at 288.29 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 31b8e579-7afa-4dee-9f20-b2fefaae3c16)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHE" (shape input) (at 337.82 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 33e40dd5-556d-4de0-ab08-235c61b7ba9f)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Reset" (shape input) (at 222.25 162.56 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 34a11a07-8b7f-45d2-96e3-89fd43e62756)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Y8" (shape input) (at 113.03 43.18 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 34ce7009-187e-4541-a14e-708b3a2903d9)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IL6" (shape input) (at 552.45 130.81 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 35e13391-5257-46f3-93a5-87ffd4e862a4)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLO" (shape input) (at 99.06 382.27 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 3742a313-c63e-4807-a7bf-be5a0ae2c781)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BL6" (shape input) (at 393.7 383.54 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 376a6f44-cf22-4d88-ac13-30f83803795f)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BH3" (shape input) (at 504.19 48.26 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 3785b88e-f652-4024-afb0-be4c22cdaea8)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BH5" (shape input) (at 405.13 312.42 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 39614f9f-2df5-492b-a093-45b7a48e295d)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BH7" (shape input) (at 389.89 312.42 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 3997254a-8057-4464-ba07-e37f0720cbd8)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHG" (shape input) (at 571.5 41.91 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 3a4d7b94-8b26-4555-b396-f2e88aea5db3)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHP" (shape input) (at 572.77 165.1 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 3b450865-b2ef-4d25-9b34-4d42975b5e24)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BL0" (shape input) (at 469.9 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 3b909fd4-b382-4019-8708-80d1d9a9fe1c)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLM" (shape input) (at 243.84 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 3c19fda9-55de-469e-9693-2d8993bca106)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IH5" (shape input) (at 342.9 181.61 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 3c5840eb-164e-426c-ab78-faa89624b9dc)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BL7" (shape input) (at 393.7 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 3ce4c631-4e8b-4ee6-a520-34bf7b12880c)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IHL" (shape input) (at 551.18 90.17 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 3d0a8609-a059-4734-b988-da00f509164d)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IH7" (shape input) (at 412.75 236.22 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 3d19e22b-2666-4e7d-825d-37a04ed07fa1)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLA" (shape input) (at 378.46 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 3d8571f7-688f-49ac-8d91-22508c277f45)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHB" (shape input) (at 501.65 163.83 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 3f1d3b22-3ba1-4783-af8d-526bce7c36db)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BL1" (shape input) (at 485.14 383.54 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 3f206607-332e-4c96-8963-5302804f476f)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BH4" (shape input) (at 459.74 312.42 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 3f9f133b-59b8-4791-b0ab-6fa861da9e3f)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Clock" (shape input) (at 222.25 193.04 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 3fa05934-8ad1-40a9-af5c-98ad298eb412)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BL7" (shape input) (at 501.65 115.57 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 419715bf-ffaa-4f14-ba39-b7cca3633324)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "XE" (shape input) (at 228.6 345.44 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 4198eb99-d244-457e-8768-395280df1a66)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IHG" (shape input) (at 551.18 41.91 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 42012069-f136-4cdf-8386-a5e648d61587)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLG" (shape input) (at 300.99 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 4266f6dc-b108-467a-bc4a-756158b1a271)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BH5" (shape input) (at 502.92 81.28 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 443de8e6-6c50-4145-a643-8098c9ffc1e6)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Clock" (shape input) (at 384.81 215.9 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 44b926bf-8bdd-4191-846d-2dfabab2cecb)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BH4" (shape input) (at 502.92 76.2 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 45245258-c97a-4586-bc43-2154c85c0ef6)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Y6" (shape input) (at 612.14 325.12 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 456c5e47-d71e-4708-b061-1e61634d8648)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Clock" (shape input) (at 294.64 243.84 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 49488c82-6277-4d05-a051-6a9df142c373)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "XG" (shape input) (at 553.72 317.5 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 4970ec6e-3725-4619-b57d-dc2c2cb86ed0)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "YE" (shape input) (at 113.03 50.8 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 49a65079-57a9-46fc-8711-1d7f2cab8dbf)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "XE" (shape input) (at 558.8 317.5 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 4a53fa56-d65b-42a4-a4be-8f49c4c015bb)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "XH" (shape input) (at 171.45 345.44 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 4b042b6c-c042-4cf1-ba6e-bd77c51dbedb)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IH8" (shape input) (at 412.75 215.9 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 4be2d863-39fc-49fd-99c7-77790b42f677)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLD" (shape input) (at 285.75 383.54 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 4c069f0b-8c76-44a0-a999-7bd72a3e8dee)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "XP" (shape input) (at 546.1 320.04 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 4ce9470f-5633-41bf-89ac-74a810939893)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "YC" (shape input) (at 113.03 48.26 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 4e677390-a246-4ca0-954c-746e0870f88f)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHC" (shape input) (at 501.65 158.75 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 524dc8d0-13b4-43fe-b274-8ac08bc4b894)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IH3" (shape input) (at 483.87 48.26 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 539dec9e-2c45-4201-ab13-cbbbab8fc31b)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "XG" (shape input) (at 191.77 345.44 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 53ae21b8-f187-4817-8c27-1f06278d249b)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "XB" (shape input) (at 566.42 317.5 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 54ed3ee1-891b-418e-ab9c-6a18747d7388)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "XO" (shape input) (at 543.56 320.04 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 5576cd03-3bad-40c5-9316-1d286895d52a)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "XD" (shape input) (at 247.65 345.44 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 5626e5e1-59f4-4773-828e-16057ddc3518)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLB" (shape input) (at 300.99 383.54 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 578f33ff-8d12-4136-bb61-e55b7655fa5b)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BL8" (shape input) (at 388.62 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 57e17378-f1f7-42d0-9ad3-fb44c2d5cdc3)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IL6" (shape input) (at 445.77 233.68 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 58728297-c362-4c70-a751-4d60ffa81b1a)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IL4" (shape input) (at 482.6 78.74 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 59246647-4e57-4b5f-9f1e-b0cc1fb90bb2)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IL5" (shape input) (at 361.95 187.96 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 5968c877-7376-4e25-b8db-5e755d570d06)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Reset" (shape input) (at 384.81 184.15 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 59e09498-d26e-4ba7-b47d-fece2ea7c274)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "YB" (shape input) (at 125.73 45.72 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 59f60168-cced-43c9-aaa5-41a1a8a2f631)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "ILL" (shape input) (at 551.18 92.71 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 5a63aa46-8c18-43d5-8def-1c886562be17)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IH4" (shape input) (at 482.6 76.2 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 5aa0e472-160b-49ac-864f-0fa7cd9cf9b0)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLN" (shape input) (at 106.68 382.27 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 5b867f3d-ce38-4d21-95dd-fe114f76e9dc)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IL3" (shape input) (at 349.25 120.65 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 5bd90e77-727e-49e2-881e-09f4ce3768d4)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BH2" (shape input) (at 504.19 43.18 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 5da06777-0696-4bb2-8c9a-78c96b4b3e90)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Reset" (shape input) (at 384.81 223.52 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 5daf2c3c-7702-4a59-b99d-84464c054bc4)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHL" (shape input) (at 120.65 312.42 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 5de5a872-aa15-495b-b53b-b8a64bbfa4f0)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLJ" (shape input) (at 194.31 383.54 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 5e27f565-c85a-4f3b-9862-58c0accdd5e3)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "YC" (shape input) (at 604.52 325.12 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 5e6153e6-2c19-46de-9a8e-b310a2a07861)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BH6" (shape input) (at 419.1 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 5f74c6fb-337b-40a9-9b79-933f2f30429a)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IHO" (shape input) (at 552.45 160.02 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 5f7505cc-53a6-463b-b397-33ff845b1ac0)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BH1" (shape input) (at 467.36 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 5f8cf0a3-5039-4ac4-8310-e201f8c0505f)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IH5" (shape input) (at 482.6 81.28 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 6025c071-1487-4c03-a645-f67437519813)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLD" (shape input) (at 340.36 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 60628c1f-f7b2-4a4b-be6f-62bc1a819432)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHF" (shape input) (at 571.5 36.83 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 60a7dcc1-b459-4b69-be02-f48b66a815f0)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "X2" (shape input) (at 455.93 345.44 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 61a18b62-4111-4a9d-8fca-04c4c6f90cc3)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "ILE" (shape input) (at 551.18 34.29 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 62ab9051-fded-466c-9df1-9b40d76dc590)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLO" (shape input) (at 233.68 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 62c6f8ce-78e5-4ab3-bb01-2fcb0df87aa6)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Y3" (shape input) (at 617.22 364.49 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 62f15a9a-9893-486e-9ad0-ea43f88fc9e7)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Com" (shape input) (at 373.38 424.18 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 637c5908-9371-4d80-a19b-036e111ef5cd)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "YA" (shape input) (at 113.03 45.72 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 637e9edf-ffed-49a2-8408-fa110c9a4c79)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHJ" (shape input) (at 571.5 80.01 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 6428332e-b689-4aa8-86bb-3bee31b6f177)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHF" (shape input) (at 212.09 312.42 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 644ebc55-9b92-49bd-8dfa-8a3a0dd8d76d)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Reset" (shape input) (at 69.85 59.69 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 662bafcb-dcfb-4471-a8a9-f5c777fdf249)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "XF" (shape input) (at 601.98 364.49 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 66ca01b3-51ff-4294-9b77-4492e98f6aec)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHH" (shape input) (at 196.85 312.42 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 66cc4ddc-a52d-4ad7-986e-68f000539802)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IL4" (shape input) (at 359.41 179.07 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 67320774-1745-4c89-bec7-2213f7bb7ecc)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BL4" (shape input) (at 426.72 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 6776c573-26e6-4a02-ab96-18129f258651)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BH9" (shape input) (at 386.08 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 6ae47305-86b3-4e27-b3c6-46e195fdaa6d)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Y1" (shape input) (at 125.73 33.02 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 6ae963fb-e34f-4e11-9adf-78839a5b2ef1)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLB" (shape input) (at 373.38 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 6c715627-9fe9-4566-9325-aed34f2a0ebd)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IL0" (shape input) (at 483.87 35.56 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 6ceb10bf-4340-4309-8250-882c2b60a70e)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "X5" (shape input) (at 581.66 317.5 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 6d2a06fb-0b1e-452a-ab38-11a5f45e1b32)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BL3" (shape input) (at 454.66 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 6dfa921c-8a4f-4fcf-a0e7-8718b6271ea9)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BH3" (shape input) (at 469.9 312.42 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 6ee71a3c-fedb-4cc6-a3c6-f3d6f3ac6767)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Y4" (shape input) (at 113.03 38.1 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 6ff9bb63-d6fd-4e32-bb60-7ac65509c2e9)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "XL" (shape input) (at 115.57 345.44 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 70186eba-dcad-4878-bf16-887f6eee49df)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BH7" (shape input) (at 414.02 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 704ba6e6-ee13-4d9d-b544-d836a743bdda)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IH9" (shape input) (at 481.33 123.19 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 70cf3e26-e279-4e61-a2f5-466ff5585d49)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BH8" (shape input) (at 391.16 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 7147b342-4ca8-4694-a1ec-b615c151a5d0)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "ILM" (shape input) (at 552.45 120.65 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 7184670c-7656-49ee-9a6f-5771dc120d69)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "YD" (shape input) (at 125.73 48.26 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 73ee7e03-97a8-4121-b568-c25f3934a935)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Reset" (shape input) (at 222.25 177.8 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 73f40fda-e6eb-4f93-9482-56cf47d84a87)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLF" (shape input) (at 571.5 39.37 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 7401f61b-dc36-4f5a-ba3e-b101a22bf1fc)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Y9" (shape input) (at 125.73 43.18 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 74855e0d-40e4-4940-a544-edae9207b2ea)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "X8" (shape input) (at 574.04 317.5 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 751d823e-1d7b-4501-9658-d06d459b0e16)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLG" (shape input) (at 571.5 44.45 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 76a87642-211c-44f2-a488-190d6dc3728e)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Com" (shape input) (at 452.12 424.18 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 76ee303c-1cfc-45a8-ae72-af3efaba6c47)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Reset" (shape input) (at 281.94 81.28 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 77aa6db5-9b8d-4983-b88e-30fe5af25975)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHM" (shape input) (at 572.77 128.27 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 782e74f8-8e76-4e6f-bfec-df9b9d96b19d)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BH6" (shape input) (at 397.51 312.42 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 7983b95c-14e4-4dec-ab4e-09c81071d9de)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BH7" (shape input) (at 502.92 88.9 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 7b8f4734-c91c-4c35-bc25-8ba9e0a60f64)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IH2" (shape input) (at 483.87 43.18 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 7be13a36-eb8e-440f-aaac-2fd6665d9f61)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Com" (shape input) (at 408.94 424.18 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 7c11b885-29b4-4eb2-b782-dde8e3724f0c)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "ILA" (shape input) (at 481.33 130.81 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 7c3fa13a-5250-4394-8d82-80430597df04)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLL" (shape input) (at 571.5 92.71 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 7c49dc93-96a1-4a8f-a667-a4ee5ad692a0)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Clock" (shape input) (at 222.25 154.94 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 7ca71fec-e7f1-454f-9196-b80d15925fff)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHO" (shape input) (at 236.22 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 7da6dd22-6820-4812-8b65-ceb1440c016d)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BH0" (shape input) (at 472.44 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 7f4b7c2c-9af8-4317-9338-c2a6d8990ded)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BL8" (shape input) (at 501.65 120.65 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 7f7833f4-976f-4a80-99c4-69f2976ed565)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "ILB" (shape input) (at 367.03 168.91 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 7fc6eda3-a41a-4ab9-935d-37e18cb30594)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "ILF" (shape input) (at 189.23 223.52 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 825065db-dc11-43e9-aa2e-59e6b2cd21f3)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHP" (shape input) (at 231.14 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 825ca21e-b6a1-4e84-a612-f8e2fae8ac04)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHL" (shape input) (at 283.21 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 82782dc2-cb84-4d0c-b85e-b3903aca1e13)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "XM" (shape input) (at 541.02 361.95 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 83184391-76ed-44f0-8cd0-01f89f157bdb)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHA" (shape input) (at 311.15 312.42 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 832b1e20-f118-4505-ad00-93c040f2f83d)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHN" (shape input) (at 241.3 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 858b182d-fdce-45a6-8c3a-626e9f7a9971)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IL9" (shape input) (at 481.33 125.73 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 8634edb8-50db-43d2-95bb-5918d2cd24cc)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IH9" (shape input) (at 412.75 220.98 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 867dcf96-6334-4832-b3d2-cf7aefc9cce8)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Reset" (shape input) (at 314.96 168.91 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 88a17e56-466a-45e7-9047-7346a507f505)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IHK" (shape input) (at 322.58 248.92 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 88ea0fe3-17bb-45bf-bf71-4da88c965186)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "ILJ" (shape input) (at 551.18 77.47 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 88fb8817-4ee2-4465-a9af-37fedc8b835b)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLP" (shape input) (at 228.6 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 895d5ca3-0e9a-421e-88ea-3017edd2db62)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "XA" (shape input) (at 568.96 317.5 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 8a8c373f-9bc3-4cf7-8f41-4802da916698)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IL9" (shape input) (at 454.66 223.52 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 8ac2bac7-c686-402e-9f05-089e132647d2)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IL7" (shape input) (at 481.33 115.57 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 8afefa03-006b-4e40-b19e-6596c7cc472e)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "ILH" (shape input) (at 551.18 82.55 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 8b9c1722-a1fd-4391-b4b4-854b2cc1549f)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IHF" (shape input) (at 551.18 36.83 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 8d054a8d-7435-41ed-8832-6067aada259a)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLP" (shape input) (at 91.44 382.27 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 8ddee80f-a354-4a11-ae03-acb37cf50626)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BL0" (shape input) (at 492.76 383.54 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 8e1983d7-818b-423d-95d2-7f219e4f6ba3)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHJ" (shape input) (at 189.23 312.42 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 8f8bb641-6f96-48dd-a2de-b7e2aaf6efe0)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "XO" (shape input) (at 57.15 345.44 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 900cb6c8-1d05-4537-a4f0-9a7cc1a2ea1c)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHE" (shape input) (at 280.67 312.42 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 90337a8b-a8c5-48e1-ad0f-b0e67716fe3c)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "XA" (shape input) (at 304.8 345.44 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 909d0bdd-8a15-40f2-9dfd-be4a5d2d6b25)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IH4" (shape input) (at 342.9 176.53 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 911557e5-adec-4d13-9794-a18b325eb4ea)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLE" (shape input) (at 335.28 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 914a2046-646f-4d53-b355-ce2139e25907)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLK" (shape input) (at 285.75 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 914ccec4-572a-4ec0-b281-596368eea274)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IH3" (shape input) (at 312.42 118.11 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 91c69423-de51-44fe-bc70-fec455b50634)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IHC" (shape input) (at 412.75 176.53 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 920101e0-4dde-4453-ba02-4211cb357ea2)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "X6" (shape input) (at 579.12 317.5 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 929a9b03-e99e-4b88-8e16-759f8c6b59a5)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLA" (shape input) (at 308.61 383.54 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 933a17ae-06d4-4de3-aae1-d3835cc0d957)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "X3" (shape input) (at 438.15 345.44 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 9404ce4c-2ce6-4f88-8062-13577800d257)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Com" (shape input) (at 504.19 27.94 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 9475edbb-286b-4bed-b5f0-0b68a18bdc52)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Reset" (shape input) (at 294.64 251.46 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 9505be36-b21c-4db8-9484-dd0861395d26)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BL0" (shape input) (at 504.19 35.56 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 95aed042-4cef-4360-9184-83bbe2dcfbaa)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Reset" (shape input) (at 137.16 223.52 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 961b4579-9ee8-407a-89a7-81f36f1ad865)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHL" (shape input) (at 571.5 90.17 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 96815f61-f3f5-43c2-b68f-856577233f16)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "XN" (shape input) (at 541.02 320.04 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 96ef76a5-90c3-4767-98ba-2b61887e28d3)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "X1" (shape input) (at 474.98 345.44 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 97693043-81ba-44a2-b87b-aca6193e0970)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "XD" (shape input) (at 561.34 317.5 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 98966de3-2364-43d8-a2e0-b03bb9487b03)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLA" (shape input) (at 501.65 130.81 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 99162744-5eac-427e-9957-877587056aee)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "ILE" (shape input) (at 181.61 218.44 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 9924c304-97d1-4655-9ab8-854a335a84c2)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLF" (shape input) (at 330.2 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 9ad8e352-005c-4299-8beb-56f3b58c96b7)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IH0" (shape input) (at 316.23 73.66 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 9b4851fe-4e2f-4de0-a685-8e53004d88aa)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BH5" (shape input) (at 424.18 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 9ba85d0a-e58f-45a8-9d86-ad6c976003b7)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "XF" (shape input) (at 556.26 317.5 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 9c2999b2-1cf1-4204-9d23-243401b77aa3)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IHN" (shape input) (at 552.45 123.19 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 9c5b8388-0c5b-43a4-a3f4-d7cd72b89084)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BH0" (shape input) (at 504.19 33.02 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 9cab0c4e-2726-433f-a46f-c25156ae2489)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Clock" (shape input) (at 222.25 219.71 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 9cacb6ad-6bbf-4ffe-b0a4-2df24045e046)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLC" (shape input) (at 293.37 383.54 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 9d2af601-5327-4706-9acb-978b65e95af5)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Y5" (shape input) (at 125.73 38.1 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 9de304ba-fba7-4896-b969-9d87a3522d74)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Clock" (shape input) (at 314.96 176.53 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 9e136ac4-5d28-4814-9ebf-c30c372bc2ec)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IL1" (shape input) (at 326.39 83.82 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 9e5b0177-ea58-4f76-8b57-ff1c6e52d9df)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "ILO" (shape input) (at 552.45 162.56 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 9efb25aa-d11e-4d2f-96a9-326a2f75dcc1)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "XD" (shape input) (at 604.52 364.49 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 9f969b13-1795-4747-8326-93bdc304ed56)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BH2" (shape input) (at 462.28 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 9fa51663-d9ff-42d5-ab2b-c96b6768fc7a)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IHL" (shape input) (at 250.19 193.04 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 9fbabfd5-5316-4dcb-8d99-3c53b9c69880)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IHN" (shape input) (at 412.75 161.29 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid a06bd114-6488-4d22-b31a-c3a8f70a2574)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IL2" (shape input) (at 483.87 45.72 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid a072347a-1cac-4ead-8c61-cfe38fd40342)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Y0" (shape input) (at 113.03 33.02 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid a0d52767-051a-423c-a600-928281f27952)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IHE" (shape input) (at 165.1 215.9 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid a0e74fdd-2272-42b1-9d9a-65553efcd00a)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "ILP" (shape input) (at 552.45 167.64 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid a1223b95-aa11-427a-b201-9190a86a68be)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IHE" (shape input) (at 481.33 171.45 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid a2306fdc-d8f4-42ce-83f7-03c3d3fe62be)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IH6" (shape input) (at 412.75 231.14 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid a26bc030-7d8a-4b19-aa84-9206cc0de2b0)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IL5" (shape input) (at 482.6 83.82 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid a2c0fc07-9ed2-42e8-8fef-f02fce3412ee)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IHD" (shape input) (at 412.75 181.61 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid a2f96f4e-d95d-4c20-90ff-804397e6e6ba)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLE" (shape input) (at 571.5 34.29 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid a3722fe0-facc-42fa-a01b-a26433c9d7fe)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLK" (shape input) (at 186.69 383.54 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid a3a9b316-86eb-411d-82d0-37407c2e4142)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IL8" (shape input) (at 435.61 218.44 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid a3d660d2-1195-4764-9c63-d090a7cbc79a)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Y2" (shape input) (at 617.22 325.12 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid a3fab380-991d-404b-95d5-1c209b047b6e)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "X8" (shape input) (at 342.9 345.44 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid a43f2e19-4e11-4e86-a12a-58a691d6df28)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BL9" (shape input) (at 383.54 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid a57e46ab-4127-4b88-afea-d94b5d7bc928)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLP" (shape input) (at 572.77 167.64 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid a60f8360-f38f-439d-b446-391101ae4282)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BL7" (shape input) (at 386.08 383.54 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid a6694369-d7a9-41d0-a88e-8a3c16982564)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHC" (shape input) (at 347.98 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid a67b97a6-51fd-4a32-8231-3fd10436b6ab)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BH9" (shape input) (at 501.65 123.19 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid a8470270-920a-4fed-9691-22526135f92c)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "XN" (shape input) (at 76.2 345.44 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid a86cc026-cc17-4a81-85bf-4c26f61b9f32)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Y2" (shape input) (at 113.03 35.56 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid aa8663be-9516-4b07-84d2-4c4d668b8596)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "X9" (shape input) (at 571.5 317.5 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid aadc3df5-0e2d-4f3d-b72e-6f184da74c89)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Com" (shape input) (at 571.5 72.39 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid aae29862-3850-48eb-b7a8-38a62a8029dd)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BH3" (shape input) (at 457.2 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid ab26a42e-b7f6-4a80-b26c-c01085e448c7)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BH2" (shape input) (at 477.52 312.42 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid ac81fb15-6f1a-451b-a962-fb87ffd26f6b)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHM" (shape input) (at 246.38 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid ac99d2b9-3592-44c3-94eb-e556103750a4)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHK" (shape input) (at 571.5 85.09 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid ad4fcc27-bf1e-4e2e-ab26-9b8032da7693)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Com" (shape input) (at 502.92 68.58 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid aeaaa120-9cc5-4520-9a70-067fbc8f5b7b)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "XC" (shape input) (at 563.88 317.5 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid af76ce95-feca-41fb-bf31-edaa26d6766a)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "XC" (shape input) (at 267.97 345.44 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid b1240f00-ec43-4c0b-9a41-43264db8a893)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "X7" (shape input) (at 576.58 317.5 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid b21299b9-3c4d-43df-b399-7f9b08eb5470)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IHH" (shape input) (at 250.19 224.79 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid b2691466-e53b-4f43-806f-abeb762713f6)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHN" (shape input) (at 105.41 312.42 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid b2f7301d-582c-4990-a060-4a71ef08c6eb)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IHG" (shape input) (at 250.19 219.71 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid b3dbf4ad-71cb-48f5-9655-41b47deeea78)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IHJ" (shape input) (at 322.58 243.84 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid b400c80e-5312-495d-b0d5-8365ed4de032)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BH8" (shape input) (at 501.65 118.11 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid b45faf1e-b7a2-4d73-9833-db84a2fde78b)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHB" (shape input) (at 303.53 312.42 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid b4afdd30-7a78-4cd8-8670-bb6dd787dcdc)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IHM" (shape input) (at 250.19 198.12 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid b4eddc61-2cab-493a-b874-62b106cef9f4)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "XK" (shape input) (at 133.35 345.44 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid b4fbe1fb-a9a3-4020-9a82-d3fa1900cd85)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "ILN" (shape input) (at 552.45 125.73 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid b8eb5c02-d344-4431-a592-0e7ad9f9a78f)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHD" (shape input) (at 501.65 166.37 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid bc29a09d-ebbe-4bab-9edb-114e75ee17a4)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IL7" (shape input) (at 436.88 227.33 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid bca69a58-3f8f-4ac5-9ef0-70bfa6c247ee)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "ILD" (shape input) (at 481.33 168.91 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid bcd0d850-a20d-42e1-b97f-b14f9222717c)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BH0" (shape input) (at 492.76 312.42 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid bce25bd3-0fe5-4c8f-bd6c-39e2d62ee70a)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "X5" (shape input) (at 398.78 345.44 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid bcfbc157-43ce-49f7-bd18-6a9e2f2f30a3)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "X0" (shape input) (at 594.36 317.5 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid bd085057-7c0e-463a-982b-968a2dc1f0f8)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Com" (shape input) (at 572.77 115.57 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid bf26cee8-9c9f-4547-9a40-e7028b986d1e)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BL1" (shape input) (at 464.82 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid bfdbfa5d-af60-4bcb-aaee-563dc6121e2f)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Clear" (shape input) (at 596.9 323.85 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid c1b11207-7c0a-49b3-a41d-2fe677d5f3b8)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IHP" (shape input) (at 552.45 165.1 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid c1b603f4-7037-47e9-a9dc-a0bb6f7e58b1)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHA" (shape input) (at 381 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid c1b73b2b-a0dd-4b0e-8d3d-c3beea420b93)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "XF" (shape input) (at 210.82 345.44 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid c1c05ce7-1c25-4382-b3b9-d3ec327783d4)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLC" (shape input) (at 345.44 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid c1d39a30-006e-4167-9c23-81a57fa0c1bb)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BL4" (shape input) (at 462.28 383.54 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid c2564ecf-bd43-431d-b9a2-c7be54487485)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "ILP" (shape input) (at 273.05 172.72 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid c34f5129-9516-486b-b322-ada2d7baa6ba)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Clock" (shape input) (at 384.81 176.53 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid c3a69550-c4fa-45d1-9aba-0bba47699cca)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "XE" (shape input) (at 601.98 325.12 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid c512fed3-9770-476b-b048-e781b4f3cd72)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLJ" (shape input) (at 571.5 77.47 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid c7524402-4dbd-4d05-888d-edab7e79a150)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Y1" (shape input) (at 619.76 364.49 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid c7cd39db-931a-4d86-96b8-57e6b39f58f9)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLL" (shape input) (at 248.92 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid c94b6f38-b2c7-494d-9fba-9edbdd8e122a)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "ILM" (shape input) (at 292.1 200.66 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid c96fb61f-984b-4e24-874e-ad2f1e86f9d7)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "ILH" (shape input) (at 275.59 227.33 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid c9863f4f-bdf5-49f4-b18e-dce622ff9931)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "XJ" (shape input) (at 548.64 317.5 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid ca56e1ad-54bf-4df5-a4f7-99f5d61d0de9)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BH8" (shape input) (at 382.27 312.42 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid cb0f5a26-0827-4807-aea7-55b25947b9d5)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "YA" (shape input) (at 607.06 325.12 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid cb1a49ef-0a06-4f40-9008-61d1d1c36198)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IH0" (shape input) (at 483.87 33.02 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid cb4b7bcd-f8cd-4398-9baf-986854c6b2ae)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Com" (shape input) (at 572.77 154.94 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid cc5561df-9d20-4574-af60-64f10025a0ed)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHN" (shape input) (at 572.77 123.19 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid cd1b9f49-f6c4-4c81-a715-14d19fd506d7)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "X2" (shape input) (at 589.28 317.5 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid cd1cff81-9d8a-4511-96d6-4ddb79484001)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Clock" (shape input) (at 130.81 73.66 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid cd8c6c53-febf-40c1-af77-5373add0fde7)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "ILB" (shape input) (at 481.33 161.29 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid cdea6ba1-cc65-46ec-9776-a403fa76c4fe)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "ILL" (shape input) (at 266.7 195.58 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid ce4b6c19-1441-4e43-8af4-a7f34dfbb538)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "X0" (shape input) (at 492.76 345.44 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid ce55d4e5-cb2b-4927-9979-4a7fc840f632)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Y0" (shape input) (at 619.76 325.12 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid ceb12634-32ca-4cbf-9ff5-5e8b53ab18ad)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHK" (shape input) (at 181.61 312.42 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid cebfc912-6282-4a1e-923e-74c4961c2aad)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLH" (shape input) (at 201.93 383.54 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid d0060422-f68b-4ffa-bca8-6f70dc4f862d)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BL3" (shape input) (at 469.9 383.54 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid d1f81642-eb3a-4277-b357-9cbb5a3aa5ac)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BL2" (shape input) (at 459.74 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid d25a1e45-06d1-4c1c-9b3a-0fd8abd0bfed)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IHA" (shape input) (at 481.33 128.27 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid d2683b99-bb18-4d41-a0c5-df26e16e4210)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLO" (shape input) (at 572.77 162.56 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid d35d7027-ac1b-44b2-9664-3d8a37ee0f4e)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BL6" (shape input) (at 416.56 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid d36e7ed4-f2bc-4d88-86ae-317d3c24af1a)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BL6" (shape input) (at 572.77 130.81 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid d37a42c4-6950-4517-b4dd-96056acf0925)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHD" (shape input) (at 288.29 312.42 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid d3db736b-0e33-4126-b950-5488923df40e)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Clock" (shape input) (at 280.67 113.03 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid d40f18db-c543-4c22-a8b0-72b9c9e5ae8b)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHH" (shape input) (at 298.45 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid d433e10e-a10c-42c7-9409-f756ab1084a2)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLJ" (shape input) (at 290.83 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid d799aac7-79c2-4447-bfa3-8eb302b60af7)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "ILK" (shape input) (at 351.79 259.08 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid d8932824-bdfc-4009-a7d0-6ff32efa7e1a)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLD" (shape input) (at 501.65 168.91 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid da151d0a-a1fa-4865-aa78-eb4b6082fbfd)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Clear" (shape input) (at 125.73 55.88 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid da862bae-4511-4bb9-b18d-fa60a2737feb)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "ILN" (shape input) (at 431.8 163.83 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid db97118a-0872-4a5d-aaa5-b35f9498f22a)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BL5" (shape input) (at 421.64 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid dbd87a35-3166-440e-a8f0-c71d214a12a6)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Com" (shape input) (at 571.5 29.21 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid dc0df782-a446-4364-8dc7-0190637b5f77)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BL4" (shape input) (at 502.92 78.74 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid dd01ca49-c8a2-4580-af9a-2e9bce9769bc)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BH1" (shape input) (at 485.14 312.42 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid dd4f23cd-8f89-457c-8b93-3828f8c20a8d)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Vin" (shape input) (at 43.18 107.95 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid dd552f19-e379-4dd5-a10b-882b6c8e7a65)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLM" (shape input) (at 572.77 120.65 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid de7d8275-fd45-47d5-ae9a-4b0c51b81f57)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IHP" (shape input) (at 250.19 170.18 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid dea30d29-44e9-47fc-bccc-6928d5c29cea)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BL5" (shape input) (at 401.32 383.54 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid df3e0d78-29b1-4811-9600-571610f4b8a8)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IL0" (shape input) (at 337.82 76.2 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid dfa2c928-7d9a-4cd3-90db-112716296421)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Clock" (shape input) (at 137.16 215.9 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid e0d7c1d9-102e-4758-a8b7-ff248f1ce315)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLL" (shape input) (at 121.92 382.27 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid e2349eb5-0f2d-4c2a-b154-1cfe1ab9cd91)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IHO" (shape input) (at 412.75 166.37 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid e234e19f-cd33-4584-947b-bf9feaf6cddd)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "ILO" (shape input) (at 454.66 168.91 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid e250304b-2864-4f44-b1e8-173cc34a2ac6)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "X4" (shape input) (at 584.2 317.5 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid e2fac877-439c-4da0-af2e-5fdc70f85d42)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLG" (shape input) (at 209.55 383.54 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid e315fb88-f764-4ec7-a92b-006692d5e26f)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BL2" (shape input) (at 477.52 383.54 90) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid e3903eeb-8b72-4b40-a088-cbbba270c01b)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BL2" (shape input) (at 504.19 45.72 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid e6235600-87cc-4c82-b15f-34fb66b9bf0e)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Com" (shape input) (at 501.65 110.49 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid e75a90f1-d275-4ca6-86ea-4b6dddffab59)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IH6" (shape input) (at 482.6 86.36 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid e7c8f673-e523-47ce-91b8-92cf1c7605ce)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IHM" (shape input) (at 552.45 128.27 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid e7f989f7-95da-4be3-9e33-743523ae1ee0)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHM" (shape input) (at 113.03 312.42 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid eac540a2-0555-4530-b9cb-9b037a65c0a7)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "ILG" (shape input) (at 551.18 44.45 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid eb14ae89-b776-4a7c-b1cb-51227ede5631)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "XK" (shape input) (at 546.1 361.95 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid eb391a95-1c1d-4613-b508-c76b8bc13a73)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "ILC" (shape input) (at 481.33 156.21 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid ec0137ed-9765-4dfb-9cee-4a1826ddb19d)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BH4" (shape input) (at 452.12 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid ee3188d0-94cf-4bcc-9f57-e516684fc142)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "ILK" (shape input) (at 551.18 87.63 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid ee80c1b4-78a3-4713-a7cd-fc09dd9d2b28)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BLB" (shape input) (at 501.65 161.29 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid eec347af-8fb3-4b2d-8e93-6e7176516f57)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHB" (shape input) (at 375.92 434.34 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid eecd895d-4aa1-458c-8512-c9957fd00fad)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IHF" (shape input) (at 165.1 220.98 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid ef11623e-ea9c-4a76-a028-9fae209a45f2)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Reset" (shape input) (at 280.67 120.65 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid ef51df0d-fc2c-482b-a0e5-e49bae94f31f)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "YF" (shape input) (at 125.73 50.8 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid ef94502b-f22d-4da7-a17f-4100090b03a1)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Clock" (shape input) (at 384.81 231.14 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid efd7a1e0-5bed-4583-a94e-5ccec9e4eb74)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Y3" (shape input) (at 125.73 35.56 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid f203116d-f256-4611-a03e-9536bbedaf2f)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IHD" (shape input) (at 481.33 166.37 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid f43f384e-6bcf-4d6c-ac65-2e849bdb75c5)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Clock" (shape input) (at 384.81 161.29 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid f5eb7390-4215-4bb5-bc53-f82f663cc9a5)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IH2" (shape input) (at 312.42 113.03 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid f630bdcd-b048-45d2-91a0-928349b89dad)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Y6" (shape input) (at 113.03 40.64 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid f674b8e7-203d-419e-988a-58e0f9ae4fad)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHG" (shape input) (at 204.47 312.42 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid f7475c2a-e91e-435c-bec2-3307ef3e1f94)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "X4" (shape input) (at 419.1 345.44 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid f87a4771-a0a7-489f-9d85-4574dbea71cc)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BL3" (shape input) (at 502.92 73.66 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid f8e927af-4836-4b0f-8a57-dbca5a18a442)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "ILC" (shape input) (at 447.04 179.07 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid f8fd3b2c-9550-4b51-be47-a8d9567c972f)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IHJ" (shape input) (at 551.18 80.01 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid f9570ec9-4338-4208-aee7-369a45a284f8)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BL9" (shape input) (at 501.65 125.73 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid f99552ce-0729-4ada-aef3-5686270d7c4d)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IH1" (shape input) (at 316.23 78.74 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid f9e60890-c09c-4221-9409-43a2ec4885e8)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "BHO" (shape input) (at 97.79 312.42 270) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid fa574bf3-ac2e-449d-91be-bcb1e35bdaba)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "IHB" (shape input) (at 481.33 163.83 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid fa7e24a1-3452-454e-88a7-8a0ff878392a)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Reset" (shape input) (at 222.25 227.33 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid fb9a832c-737d-49fb-bbb4-29a0ba3e8178)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "ILF" (shape input) (at 551.18 39.37 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid fe578162-0e40-4028-9277-b80f8071e7b8)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "XB" (shape input) (at 287.02 345.44 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid fe9bdc33-eab1-4bdc-9603-57decb38d2a2)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "Reset" (shape input) (at 384.81 168.91 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid fead07ab-5a70-40db-ada8-c72dcc827bfc)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "ILA" (shape input) (at 360.68 163.83 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid fec2ae03-3539-4fc7-9da2-1b1336bf787c)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(symbol (lib_id "Transistor_Array:ULN2004A") (at 494.03 38.1 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e238b4d)
(property "Reference" "UT1" (id 0) (at 494.03 21.1582 0))
(property "Value" "ULN2004A" (id 1) (at 494.03 23.4696 0))
(property "Footprint" "" (id 2) (at 495.3 52.07 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
(property "Datasheet" "http://www.ti.com/lit/ds/symlink/uln2003a.pdf" (id 3) (at 496.57 43.18 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 6e7b5b76-2388-49e8-882a-44b7edb3e89c))
(pin "10" (uuid 06d8bd70-59bd-4926-9ba0-6d7f7d3196e9))
(pin "11" (uuid 66ebd005-a67b-4454-867c-1b9f37aac5f6))
(pin "12" (uuid a189b842-1213-43bc-bb6b-0929510247af))
(pin "13" (uuid 51c0a517-85f3-438d-b15e-49f5d720c38f))
(pin "14" (uuid ba45428c-55c1-49ce-be42-6c3b9c3775b2))
(pin "15" (uuid 96cd5db7-f8a3-4e32-9bd6-bef4b0fc5464))
(pin "16" (uuid 5e810f7d-503e-4aef-a8c5-0274e01104ef))
(pin "2" (uuid aaa2511e-1991-4712-8039-3bb9d0a06411))
(pin "3" (uuid d02e6855-fb8e-4420-ad7a-a2c6d42ed430))
(pin "4" (uuid f0fcb2f0-4bec-4a32-83dd-ac07bfcfbf9c))
(pin "5" (uuid b53b6e09-39d8-40c2-b219-667d536deb36))
(pin "6" (uuid 2491ddcb-5885-4a9f-9fd2-fa2255c8d590))
(pin "7" (uuid 019b64d0-bd9d-4b5d-a100-f5e786b4ec9b))
(pin "8" (uuid c41413ca-73f0-435f-9544-9a5fa91a371d))
(pin "9" (uuid 50e15cdf-4793-48c4-b031-a6fe50a4e887))
)
(symbol (lib_id "4xxx:4049") (at 447.04 168.91 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e238da8)
(property "Reference" "UB1" (id 0) (at 447.04 160.8582 0))
(property "Value" "4049" (id 1) (at 447.04 163.1696 0))
(property "Footprint" "" (id 2) (at 447.04 168.91 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.intersil.com/content/dam/intersil/documents/cd40/cd4049ubms.pdf" (id 3) (at 447.04 168.91 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "2" (uuid a88d0a97-e382-4f1a-b92a-c01b9204972a))
(pin "3" (uuid a32781cb-3bfa-41f4-be57-cc3a82553c23))
(pin "4" (uuid 1e460413-9866-498c-aee0-fa72aad94dcf))
(pin "5" (uuid 0d929d1b-3b47-4074-aebe-aa825a20facd))
(pin "6" (uuid fdbbec1d-e3d7-45d3-9006-9d58703c8d7f))
(pin "7" (uuid 9a552749-f44e-43b6-a8b0-fab71f2e8288))
(pin "10" (uuid ccbfff9c-2c80-4a75-8763-c4e3cd41e218))
(pin "9" (uuid 2cac9755-5747-4595-b0de-524118c1a7d4))
(pin "11" (uuid 7bb94b31-5526-40c7-a56e-3f44107a881f))
(pin "12" (uuid ade5b16e-2613-4b96-8ddf-7b121c9f40c8))
(pin "14" (uuid 0537f126-0492-4ad9-8fa7-9af1416cf553))
(pin "15" (uuid 5d9d08c6-ca8c-43db-8aaa-d86c6049769c))
(pin "1" (uuid 216b280e-1719-461f-a5eb-f07022e19d3e))
(pin "8" (uuid 95a3bbd2-853a-424b-9217-fca3d9e942b8))
)
(symbol (lib_id "4xxx:4049") (at 424.18 163.83 0) (unit 2)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e238e1b)
(property "Reference" "UB1" (id 0) (at 424.18 155.7782 0))
(property "Value" "4049" (id 1) (at 424.18 158.0896 0))
(property "Footprint" "" (id 2) (at 424.18 163.83 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.intersil.com/content/dam/intersil/documents/cd40/cd4049ubms.pdf" (id 3) (at 424.18 163.83 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "2" (uuid 14df390a-c6f1-4fab-9065-6f92464f1cbc))
(pin "3" (uuid 0cb9b6e7-af25-4dac-bbb1-703ebf3fae70))
(pin "4" (uuid f47d142e-d95f-4bdc-804e-93f03f194c35))
(pin "5" (uuid 9ac0d4cf-0fb7-464c-8562-49617de234ad))
(pin "6" (uuid 11f9aa27-919c-4c6b-a09d-06f1000d584c))
(pin "7" (uuid abc56796-9683-4031-8620-dc4ea3e1f6af))
(pin "10" (uuid 96da3721-7a1e-4a6b-93b5-e5d8de5fa9e5))
(pin "9" (uuid 7c37b88a-9181-489c-ac3c-af3f11f6c16b))
(pin "11" (uuid 63315abe-1c76-45b8-aaa4-69e2368b2b81))
(pin "12" (uuid 0e974dcf-67d0-4e87-acc1-35f081f990e3))
(pin "14" (uuid e8fbfd24-9491-4b75-8ffa-fba9b24b33d9))
(pin "15" (uuid 6882d551-0931-418e-9a6f-d136e5e60d38))
(pin "1" (uuid 95a71705-d129-424f-82cf-1f8ecfe11598))
(pin "8" (uuid 0a4cb20f-64d0-4577-924a-e916e8d4242d))
)
(symbol (lib_id "4xxx:4049") (at 328.93 115.57 0) (unit 3)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e238eb4)
(property "Reference" "UB1" (id 0) (at 328.93 107.5182 0))
(property "Value" "4049" (id 1) (at 328.93 109.8296 0))
(property "Footprint" "" (id 2) (at 328.93 115.57 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.intersil.com/content/dam/intersil/documents/cd40/cd4049ubms.pdf" (id 3) (at 328.93 115.57 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "2" (uuid 0258b324-e927-487c-a8de-a0099a21dbc1))
(pin "3" (uuid 7d91ac63-184b-473a-9c78-4f28084af376))
(pin "4" (uuid be13f4f9-ada3-404b-9cc2-b37a4fb0f91a))
(pin "5" (uuid 95d174c5-6c49-4f5a-8e43-8026a3368672))
(pin "6" (uuid 659060d2-d77c-44a0-8d0f-871c1b4b426d))
(pin "7" (uuid ea5a75b6-7d26-4fc7-a05f-577d2d2f5111))
(pin "10" (uuid cb9866dd-66d5-4bdf-b3d5-19760427ab44))
(pin "9" (uuid 85c7092d-dbbf-47ff-ad10-af66cc1b2b77))
(pin "11" (uuid da53476d-665b-4143-8a82-a71984d38370))
(pin "12" (uuid c5aa27d9-5480-4304-8e21-8e869c4f4673))
(pin "14" (uuid 1380f1ea-acc5-4645-ad52-963356f865cc))
(pin "15" (uuid 2f35f7ed-186f-46be-886b-961e7620ffe7))
(pin "1" (uuid 917c0b40-a90d-429d-b3f0-3de596b29919))
(pin "8" (uuid 7ede5983-e81f-4be3-b389-0b29e815bc5f))
)
(symbol (lib_id "4xxx:4049") (at 330.2 76.2 0) (unit 4)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e238f17)
(property "Reference" "UB1" (id 0) (at 330.2 68.1482 0))
(property "Value" "4049" (id 1) (at 330.2 70.4596 0))
(property "Footprint" "" (id 2) (at 330.2 76.2 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.intersil.com/content/dam/intersil/documents/cd40/cd4049ubms.pdf" (id 3) (at 330.2 76.2 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "2" (uuid 03e75c0f-5a85-43b7-8bb6-5733f3414b19))
(pin "3" (uuid 58feb268-e8f8-4a32-9ca1-9d1a7227bea1))
(pin "4" (uuid 57a93692-cc19-4c4c-93d7-e2bad5b5fe35))
(pin "5" (uuid 170a0f41-ef6f-4316-8524-3ce26c243885))
(pin "6" (uuid dd456c2e-3535-4538-bcd5-1568930198f5))
(pin "7" (uuid de9b2039-ffac-4ec6-af26-d56ec4c48f63))
(pin "10" (uuid bf205740-cc75-4fe2-9d02-a3cd8aaa54cb))
(pin "9" (uuid 2e65550c-5d47-4075-a364-e93cc9eff1cb))
(pin "11" (uuid 31e78818-3a6f-40d0-938b-6964b3b67152))
(pin "12" (uuid 832d0fa5-763a-46b9-9721-e1c92280eac6))
(pin "14" (uuid ec3d05f9-bc59-4c05-bf58-d3fbd46a918b))
(pin "15" (uuid 39d19bf3-7f46-4bbc-8019-2f1ef6ff98ef))
(pin "1" (uuid e0a82b1d-8907-4e8f-bc5f-649c01cabc4f))
(pin "8" (uuid 348341c9-4a1f-45c8-8ded-3517ea859c25))
)
(symbol (lib_id "4xxx:4049") (at 318.77 83.82 0) (unit 5)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e238f8f)
(property "Reference" "UB1" (id 0) (at 318.77 75.7682 0))
(property "Value" "4049" (id 1) (at 318.77 78.0796 0))
(property "Footprint" "" (id 2) (at 318.77 83.82 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.intersil.com/content/dam/intersil/documents/cd40/cd4049ubms.pdf" (id 3) (at 318.77 83.82 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "2" (uuid 466fc84a-85cb-459a-a111-4e98429f425c))
(pin "3" (uuid 987b43cb-4a0c-4f5f-9203-f123ae8e4814))
(pin "4" (uuid ca423428-5ba9-4d58-9f6e-930e216fd4e0))
(pin "5" (uuid 2ae9e4ab-0f9d-4603-a312-1bd96b395b94))
(pin "6" (uuid c0c17966-4e8c-4f45-9867-6cabadcc3c65))
(pin "7" (uuid 03cd23d5-7863-4795-bb97-5eb2a5107a73))
(pin "10" (uuid e60469f1-c3fe-496d-941d-1e2c2002bc92))
(pin "9" (uuid 21f58899-110f-4276-9f29-65c91848329b))
(pin "11" (uuid 31ab64e0-53f7-4913-bd34-19d3417091dc))
(pin "12" (uuid f8550587-ad3c-4a87-8317-f643f0aa35ae))
(pin "14" (uuid 536140b8-c8b1-4dd5-b4de-b69be48e037b))
(pin "15" (uuid f052b568-db9c-40f1-9690-a32d16a16617))
(pin "1" (uuid 40f1afe9-5fe8-4d37-ad4c-28dd5b0e2f63))
(pin "8" (uuid 5814b63e-4c6f-4ca4-b56e-609c16184ec9))
)
(symbol (lib_id "4xxx:4049") (at 284.48 200.66 0) (unit 6)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e238ffa)
(property "Reference" "UB1" (id 0) (at 284.48 192.6082 0))
(property "Value" "4049" (id 1) (at 284.48 194.9196 0))
(property "Footprint" "" (id 2) (at 284.48 200.66 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.intersil.com/content/dam/intersil/documents/cd40/cd4049ubms.pdf" (id 3) (at 284.48 200.66 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "2" (uuid fa5d0255-54ed-4a34-ad34-6d53dd67edbb))
(pin "3" (uuid 5c49b9b4-6e62-4fff-a2bf-c11c1c331438))
(pin "4" (uuid af9123b1-45dc-419a-871b-e03603550e5c))
(pin "5" (uuid 6a91cf3e-62f8-48f8-a75e-df38f01bfaeb))
(pin "6" (uuid b6cda38b-531b-46c4-82a1-08fbc74bd261))
(pin "7" (uuid c90bcf8e-06e9-42a1-b810-6dbc44ef2976))
(pin "10" (uuid 12d51984-c4e8-4c2a-9585-94aa558256bb))
(pin "9" (uuid 2b9b672d-49a3-4b1c-9e38-6d9e91b6fab1))
(pin "11" (uuid 6ad70d0f-c177-4ed6-9199-8d28876b7d96))
(pin "12" (uuid 9d2a81c4-aa1c-4df0-a8e4-ebdac401d217))
(pin "14" (uuid 0907ea77-309d-4975-8c0e-a5a8247686fd))
(pin "15" (uuid 0638ba26-ddfe-4c8e-b54c-5e7f9c75b1f6))
(pin "1" (uuid 967aa33a-ade8-4742-9047-9d17d5b0a527))
(pin "8" (uuid b9d0f5cb-86d4-43a2-9291-6ef1d36a0a2f))
)
(symbol (lib_id "4xxx:4013") (at 60.96 57.15 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e2394a0)
(property "Reference" "UFF1" (id 0) (at 60.96 45.0088 0))
(property "Value" "4013" (id 1) (at 60.96 47.3202 0))
(property "Footprint" "" (id 2) (at 60.96 57.15 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.onsemi.com/pub/Collateral/MC14013B-D.PDF" (id 3) (at 60.96 57.15 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid d9c004ed-e10d-4a51-8319-7dc809dbb197))
(pin "2" (uuid 0edace75-e053-4471-acb6-d2cf623b778a))
(pin "3" (uuid 65c2e139-13f3-4412-98ca-cf42ba2fa43c))
(pin "4" (uuid 4bb20a5e-1a8e-4be6-b091-703f483f23a4))
(pin "5" (uuid 47012a7d-004b-4568-aa36-11b259b6c47a))
(pin "6" (uuid ba6eea62-6e5f-4601-be02-c0813a95947a))
(pin "10" (uuid b2d7754c-7a21-4828-9b74-4fdddafeba5a))
(pin "11" (uuid e9522f07-892b-4fa8-bbc1-50f01a726387))
(pin "12" (uuid d975631e-262f-42a0-8130-6eb178b42f02))
(pin "13" (uuid 0dc8cf7c-74d5-4e1c-9ff4-44531f9ce26f))
(pin "8" (uuid f9e4caad-6bfb-4a13-9a26-09eb0cfb4e6d))
(pin "9" (uuid 7f356457-6a47-4ce9-94a0-0ad285d68e03))
(pin "14" (uuid 7a1e8f3b-fc84-44e4-adf0-428675de1dee))
(pin "7" (uuid da7348c2-b8b9-4fdb-82d5-18f4fb66f674))
)
(symbol (lib_id "4xxx:4013") (at 382.27 66.04 0) (unit 2)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e239526)
(property "Reference" "UFF1" (id 0) (at 382.27 53.8988 0))
(property "Value" "4013" (id 1) (at 382.27 56.2102 0))
(property "Footprint" "" (id 2) (at 382.27 66.04 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.onsemi.com/pub/Collateral/MC14013B-D.PDF" (id 3) (at 382.27 66.04 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid ca0f105d-08a8-4bbb-ad69-9a726a017006))
(pin "2" (uuid 48f4548d-e761-42de-85af-f83fd8cf0527))
(pin "3" (uuid 48a36cf0-9ea2-4ac2-b3f6-7bca071bbdc4))
(pin "4" (uuid 63fc5d2a-8a53-449d-8e6f-7b48d0d0a76a))
(pin "5" (uuid 7300c564-6a55-4c28-81ac-ee0cfa89ff24))
(pin "6" (uuid bda05d70-93e0-4897-bed7-04357f719dcc))
(pin "10" (uuid 277ea090-860a-4f01-9682-11505b41c1ac))
(pin "11" (uuid 41b448c4-64cb-4f1b-a233-0abc37bdadad))
(pin "12" (uuid e1bad162-1779-40d0-8d1a-a95bb6a2350e))
(pin "13" (uuid 07950a0f-6c20-4888-b742-057d74395d47))
(pin "8" (uuid cb4e5939-01cb-467c-a555-83c7b749ecaf))
(pin "9" (uuid 6ec97318-878a-43b8-8300-054b07ec3e92))
(pin "14" (uuid 5b760dff-233a-44dc-a0e2-fa7ded5ea65b))
(pin "7" (uuid 0d4509f1-ffeb-4b66-96a2-e5cf74e1fda6))
)
(symbol (lib_id "4xxx_IEEE:4015") (at 295.91 116.84 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e2396c4)
(property "Reference" "USR1" (id 0) (at 295.91 105.2576 0))
(property "Value" "4015" (id 1) (at 295.91 107.569 0))
(property "Footprint" "" (id 2) (at 295.91 116.84 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 295.91 116.84 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "16" (uuid e78a2451-b3c1-4b06-b409-21689c0cf42f))
(pin "8" (uuid 7a82776a-f848-45c0-be80-26c3d40ffc10))
(pin "10" (uuid b7d07d30-910a-4847-830f-bcb67771b5fc))
(pin "3" (uuid 7c923765-39ee-4ffb-89d9-f6717b47fe9f))
(pin "4" (uuid 38cdb7d8-2382-49b0-9606-658fec11423d))
(pin "5" (uuid d3c3aa9d-84b4-487b-97f9-7649fada60b6))
(pin "6" (uuid 7ff8fe78-087f-4cc2-b41b-b9a3ae21fcb4))
(pin "7" (uuid fe029741-ee15-4d75-aa8e-84a7ceea7ea1))
(pin "9" (uuid 778d636a-003b-45a2-8176-805ff914d7ea))
(pin "1" (uuid 319e2865-b10a-45e9-9153-af00516e43bd))
(pin "11" (uuid 57f16273-fcbf-4ba5-acd1-e6cc2a498274))
(pin "12" (uuid 031742b4-37c6-4d77-bc22-332f9e617135))
(pin "13" (uuid a5dc8855-a748-467b-9ce9-3f91e1f97dca))
(pin "14" (uuid 9eec8f71-026a-47ad-8f53-dde34acbe6b4))
(pin "15" (uuid 1ea43d2f-7e3a-418b-81d0-d4eb8298cf07))
(pin "2" (uuid 48172a05-eaca-4194-87bf-c3e25605fd81))
)
(symbol (lib_id "4xxx_IEEE:4015") (at 297.18 77.47 0) (unit 2)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e239743)
(property "Reference" "USR1" (id 0) (at 290.83 66.04 0))
(property "Value" "4015" (id 1) (at 293.37 68.58 0))
(property "Footprint" "" (id 2) (at 297.18 77.47 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 297.18 77.47 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "16" (uuid 4c2fb9d5-15b0-4674-b177-480e81082f03))
(pin "8" (uuid 5977c3e6-da95-45cb-a3f1-4e6f0f05aa7c))
(pin "10" (uuid d5d60c16-eca3-4863-bb35-79b39395305c))
(pin "3" (uuid 2c6776a6-8c48-4014-b08a-68eeebc62b53))
(pin "4" (uuid 8c154e48-7866-46e9-9c05-da487714ea86))
(pin "5" (uuid d2ba76b6-dfa9-49f8-be7b-c5d1057782ff))
(pin "6" (uuid 658c6be2-66be-46a2-8797-3c76b14160b0))
(pin "7" (uuid 77a8157e-b3ed-48a2-9124-f973b06e3e04))
(pin "9" (uuid 2f2ba01d-5114-4e1a-8abe-e1ede4244111))
(pin "1" (uuid 06971dba-deb4-46c8-98d8-eedcb2977371))
(pin "11" (uuid 9a30829a-e795-4990-8f53-7fa07c1033a8))
(pin "12" (uuid 4314a106-7fec-4cd4-bcb5-fa7575c735c6))
(pin "13" (uuid 60b73552-5fca-467b-8fdc-d31d0986e316))
(pin "14" (uuid 0c40303a-2077-46b3-8335-0cd7f08cd319))
(pin "15" (uuid d29e9867-46c4-457c-b744-d5c52b1546b9))
(pin "2" (uuid 512379a8-ee78-4f23-b780-7ee043045d7a))
)
(symbol (lib_id "Transistor_Array:ULN2004A") (at 492.76 78.74 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e239f89)
(property "Reference" "UT2" (id 0) (at 492.76 61.7982 0))
(property "Value" "ULN2004A" (id 1) (at 492.76 64.1096 0))
(property "Footprint" "" (id 2) (at 494.03 92.71 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
(property "Datasheet" "http://www.ti.com/lit/ds/symlink/uln2003a.pdf" (id 3) (at 495.3 83.82 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 48064db6-89df-401f-a334-545f07ec0250))
(pin "10" (uuid 9a71502e-e5ac-437d-9b0f-30769aa879b6))
(pin "11" (uuid f2eace27-4ced-4bf6-a042-f6b801e29935))
(pin "12" (uuid c4844836-212f-4c15-beda-d634fd88a56e))
(pin "13" (uuid 35587921-060f-48fe-80bb-3d2504110ed3))
(pin "14" (uuid 1bd0ab3b-a28d-4c3e-bcc3-0204c8b30d6a))
(pin "15" (uuid 3844abb9-7d4f-46c1-a907-2461519c8bd7))
(pin "16" (uuid 33850d2d-e96a-4bf7-8cd1-79d5849d0d83))
(pin "2" (uuid c53fa6a5-8d5b-4549-8d96-7860e8065d41))
(pin "3" (uuid 4dd99b01-d682-44d8-9e6d-98334e7a7a15))
(pin "4" (uuid 07ad3a4c-e8cd-407b-9c66-03a75819877d))
(pin "5" (uuid 6745fa43-6ded-4aa0-896b-b8ed111e1407))
(pin "6" (uuid 56d5a663-a675-43d4-9149-36082330973d))
(pin "7" (uuid a689488a-9970-4300-bbca-9ffc9393c3b1))
(pin "8" (uuid a04a9087-727b-4287-848f-692a9416a2e7))
(pin "9" (uuid 497c1824-fb75-4f30-93a2-2a3e6f82b3ba))
)
(symbol (lib_id "Transistor_Array:ULN2004A") (at 491.49 120.65 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23a024)
(property "Reference" "UT3" (id 0) (at 491.49 103.7082 0))
(property "Value" "ULN2004A" (id 1) (at 491.49 106.0196 0))
(property "Footprint" "" (id 2) (at 492.76 134.62 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
(property "Datasheet" "http://www.ti.com/lit/ds/symlink/uln2003a.pdf" (id 3) (at 494.03 125.73 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 5e5f889c-3744-4751-a124-4712b84675f2))
(pin "10" (uuid b122387c-f151-4a47-8046-9d29b3a6845d))
(pin "11" (uuid 1f1ecef1-57cc-4344-9210-c00f6cffebc4))
(pin "12" (uuid d60fc70f-9e16-48cf-b151-bd17af1c7012))
(pin "13" (uuid bf724a73-ae1d-4c58-a50e-18191201ed32))
(pin "14" (uuid d7a64cf6-a238-4d3c-9426-72699c72e7e2))
(pin "15" (uuid 2c3f9d8f-bede-42db-92b4-4effe97e0640))
(pin "16" (uuid 984a66d8-c710-44ae-98fa-a885ff8e00f1))
(pin "2" (uuid 3d55304b-a0d7-4113-8774-ec8aae0193ab))
(pin "3" (uuid 932552cb-5fea-4e9a-8627-8911464cc405))
(pin "4" (uuid 589c0169-2a1d-48f4-88cf-12b0d221b967))
(pin "5" (uuid 7d016e88-79d2-4a0c-99f5-4f3c8be2d48c))
(pin "6" (uuid 9f5ec040-18fd-40c1-9dbf-e570887293e1))
(pin "7" (uuid 34305e7c-7869-4258-a35f-c0428d039ccc))
(pin "8" (uuid da5bbf04-8896-4253-821a-c90ba2941ecf))
(pin "9" (uuid c5f281bc-cff1-47e0-876c-d8b855a0fe6e))
)
(symbol (lib_id "Transistor_Array:ULN2004A") (at 491.49 161.29 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23a0c6)
(property "Reference" "UT4" (id 0) (at 491.49 144.3482 0))
(property "Value" "ULN2004A" (id 1) (at 491.49 146.6596 0))
(property "Footprint" "" (id 2) (at 492.76 175.26 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
(property "Datasheet" "http://www.ti.com/lit/ds/symlink/uln2003a.pdf" (id 3) (at 494.03 166.37 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid c2ea3529-b16a-465b-b793-cd4bcdfbeef6))
(pin "10" (uuid a19cd98c-dbb3-4945-817c-9a59fed0a077))
(pin "11" (uuid dd7f0d45-3367-4de0-ae2e-4a2f87e05d7d))
(pin "12" (uuid f4acca14-c7b3-4c82-ae51-188dffd845d7))
(pin "13" (uuid e9a9db28-69b6-49a1-a5bb-eeea2fcd349f))
(pin "14" (uuid 98b68e63-6d5e-40c2-8800-194ba8894629))
(pin "15" (uuid eccca014-8fca-40ef-9c70-0b5135bfac17))
(pin "16" (uuid 382ca512-b4f7-460c-abd6-5fd8f38132bd))
(pin "2" (uuid 4e87f0c5-833e-4134-b4c5-bb1ded65b831))
(pin "3" (uuid 81ea5c0f-9689-49fe-bc45-ceb2d1824960))
(pin "4" (uuid 64fde0bc-d6d2-4558-8fca-9116ec7599fb))
(pin "5" (uuid 8f4ce6be-cf53-4cc9-b732-903ff0a748b7))
(pin "6" (uuid 27581dda-332e-41f9-af6e-12247f8e2c3d))
(pin "7" (uuid acd5c403-c981-4118-b577-3766dfcafb9c))
(pin "8" (uuid 3620271a-6cf6-45f1-931f-a2387533dca8))
(pin "9" (uuid 39076b84-1016-455f-bdf5-e32a68e1df75))
)
(symbol (lib_id "Transistor_Array:ULN2004A") (at 561.34 39.37 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23a1a5)
(property "Reference" "UT5" (id 0) (at 561.34 22.4282 0))
(property "Value" "ULN2004A" (id 1) (at 561.34 24.7396 0))
(property "Footprint" "" (id 2) (at 562.61 53.34 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
(property "Datasheet" "http://www.ti.com/lit/ds/symlink/uln2003a.pdf" (id 3) (at 563.88 44.45 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid c7a03d30-a724-4f38-ac35-a318bebfcf68))
(pin "10" (uuid 1b86fe4f-3cd9-4c70-93f2-82202005bb03))
(pin "11" (uuid b5125c6a-3561-4e65-9720-1003e8e30246))
(pin "12" (uuid b24429f5-7ece-46f8-829b-839a3ba0035d))
(pin "13" (uuid a976bb9e-eed6-480f-a7cd-814950cea629))
(pin "14" (uuid 9c71e459-39cf-484a-acc6-ed9d4f0d5177))
(pin "15" (uuid 3e0bd75d-0767-4234-b559-114519d37692))
(pin "16" (uuid 422c1aa7-bd8c-4c94-9e5b-2fd049d9e649))
(pin "2" (uuid 40944361-6ff4-4ffa-8e13-fc59fc424577))
(pin "3" (uuid 77f9e330-7cd0-47b3-930e-5e1fb9beca44))
(pin "4" (uuid 147f0a84-b7e2-4fe2-b81f-a45b6393149a))
(pin "5" (uuid 2c3a3381-6b6c-45dc-a184-06771551cb5e))
(pin "6" (uuid e4eaa7a5-724f-4e0d-a535-13d8f60fdfbc))
(pin "7" (uuid 57a64999-1bec-4735-b9a8-3f758933cfcd))
(pin "8" (uuid 272702bc-2a72-4c1c-aec3-546d4e02b42c))
(pin "9" (uuid ca061366-1724-4ea1-82d5-a4f4567fd4b5))
)
(symbol (lib_id "Transistor_Array:ULN2004A") (at 561.34 82.55 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23a251)
(property "Reference" "UT6" (id 0) (at 561.34 65.6082 0))
(property "Value" "ULN2004A" (id 1) (at 561.34 67.9196 0))
(property "Footprint" "" (id 2) (at 562.61 96.52 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
(property "Datasheet" "http://www.ti.com/lit/ds/symlink/uln2003a.pdf" (id 3) (at 563.88 87.63 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 02913d7e-917e-4e50-be77-fbbc59f63e4b))
(pin "10" (uuid 1ca94ea7-22ff-4d81-933c-aaaba941639a))
(pin "11" (uuid 6ff44534-0fed-4d9c-a6b5-09f98264c5fd))
(pin "12" (uuid 776edafd-4ea1-4d46-9906-61e8f7a861f3))
(pin "13" (uuid 63f794d3-0c1c-4032-9a5c-74cc565464e7))
(pin "14" (uuid 32c419f1-83b9-4f14-92ea-25de64d8e056))
(pin "15" (uuid 0fb4bb47-d1ce-4091-a2f4-f6c1f2eb3f24))
(pin "16" (uuid 5f934e2f-d9d6-452b-9cf1-1ce5840ad050))
(pin "2" (uuid 7bcb5fb3-68bc-47ee-991c-b465f75652c7))
(pin "3" (uuid 55a9d6d3-00cb-4662-99ba-03199a80f1ce))
(pin "4" (uuid 8651e71b-2647-4629-ae7c-d08061ea8985))
(pin "5" (uuid 4a353535-fa5f-48af-a7d3-2bd43d4a63e8))
(pin "6" (uuid e6ab54f9-05ad-449f-8925-9fca23b9346a))
(pin "7" (uuid 8f02d1e9-b49b-49ae-9212-fedb10fc2650))
(pin "8" (uuid c7e17855-7c5a-4d11-ad11-184085cd3152))
(pin "9" (uuid 531e81b0-53e6-4474-9d42-5f16e326792d))
)
(symbol (lib_id "Transistor_Array:ULN2004A") (at 562.61 125.73 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23a2fa)
(property "Reference" "UT7" (id 0) (at 562.61 108.7882 0))
(property "Value" "ULN2004A" (id 1) (at 562.61 111.0996 0))
(property "Footprint" "" (id 2) (at 563.88 139.7 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
(property "Datasheet" "http://www.ti.com/lit/ds/symlink/uln2003a.pdf" (id 3) (at 565.15 130.81 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 4a7c9c55-e88b-462b-aff4-bc70c39578cd))
(pin "10" (uuid 6712f3ed-b01c-419c-8af7-3b3198a6b5ef))
(pin "11" (uuid 43b36f90-d311-4567-a2f4-7ec267c2baba))
(pin "12" (uuid 6d91609a-4586-4dfe-99dd-c4fa800a2dba))
(pin "13" (uuid 22f0499d-c631-42c6-a303-b919edb55e5d))
(pin "14" (uuid ad727e8f-8630-4f3a-b870-d24bb43bab5d))
(pin "15" (uuid 0902d778-401f-4e43-be91-109b190b5f51))
(pin "16" (uuid ae2fafd5-ad44-4ca3-a844-47b5355fa013))
(pin "2" (uuid 0d26aaed-2cb6-45be-a4cc-9eba453fd15b))
(pin "3" (uuid 6a51db4b-a1b0-4339-ab7a-aeb00fd5cc7e))
(pin "4" (uuid 7eb01883-4ee2-43c4-aab2-9d022c953329))
(pin "5" (uuid a62261ef-6dd0-4768-8ce9-fb88fb59be4c))
(pin "6" (uuid fe7d34dd-9bf9-4e68-8357-75c6e5b4d9c8))
(pin "7" (uuid 48ed594c-8c43-4981-a5b4-6eeaa5d980d0))
(pin "8" (uuid 0bc83fa6-6db9-45d5-a8b5-822f6301d2a9))
(pin "9" (uuid 9dd39a8c-0e2e-4e35-b6d9-4f056f825981))
)
(symbol (lib_id "Transistor_Array:ULN2004A") (at 562.61 165.1 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23a3a6)
(property "Reference" "UT8" (id 0) (at 562.61 148.1582 0))
(property "Value" "ULN2004A" (id 1) (at 562.61 150.4696 0))
(property "Footprint" "" (id 2) (at 563.88 179.07 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
(property "Datasheet" "http://www.ti.com/lit/ds/symlink/uln2003a.pdf" (id 3) (at 565.15 170.18 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 16459b5c-bcf2-4f06-90e7-46c978ae8a72))
(pin "10" (uuid 0e781982-6665-45c8-9b71-5746070bb30a))
(pin "11" (uuid dddca243-0ee2-44b3-a665-4e0464f52968))
(pin "12" (uuid 83fdd135-b6ed-41b9-8059-cec1db386f21))
(pin "13" (uuid 9b679932-01f1-4042-ae4a-4e7c63cc9074))
(pin "14" (uuid a53f45ce-d4bf-4723-bc2a-24a38c4e7d83))
(pin "15" (uuid b6e3a4fd-a982-49b0-a477-ad5310d94f88))
(pin "16" (uuid 8e5dbbdf-a3d4-4dff-9de9-63a1d3a3d476))
(pin "2" (uuid dd484e14-7b09-4d8a-8bed-696c35d4e2ea))
(pin "3" (uuid 5b2091dc-8741-4417-b029-4cc5166934e2))
(pin "4" (uuid 45c4365a-ca77-47ae-b63b-f2ef95ac5706))
(pin "5" (uuid 02ac15ac-6be5-4136-a81c-74ba8d7c06be))
(pin "6" (uuid 4275474c-4f83-4af8-bf2f-80f5e61aaee2))
(pin "7" (uuid a34e4a16-4ae2-4b70-b416-4bf6e3e60842))
(pin "8" (uuid e7f7fe4f-ebfb-471a-976d-2ea6e6769e03))
(pin "9" (uuid 121ac3a8-109a-4ce6-a3a3-932a738ac930))
)
(symbol (lib_id "4xxx:4049") (at 427.99 218.44 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23c7d7)
(property "Reference" "UB2" (id 0) (at 427.99 210.3882 0))
(property "Value" "4049" (id 1) (at 427.99 212.6996 0))
(property "Footprint" "" (id 2) (at 427.99 218.44 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.intersil.com/content/dam/intersil/documents/cd40/cd4049ubms.pdf" (id 3) (at 427.99 218.44 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "2" (uuid 14c477d1-b3f5-4828-9350-ac0b3b4e4418))
(pin "3" (uuid 60265089-dd67-4480-a889-9807068e5c71))
(pin "4" (uuid 9e42fb87-8072-44ab-adb4-e939b25d0cb5))
(pin "5" (uuid a59207ca-d271-4e33-b798-5cf0879e3762))
(pin "6" (uuid 0d8fcb65-24f1-44c8-8538-9f4319eca912))
(pin "7" (uuid 3b6b3ba2-33ab-4f07-9309-915eafa99845))
(pin "10" (uuid b12247ae-ce4c-44af-b849-b7248368cc81))
(pin "9" (uuid de913317-174c-48b8-a229-780fbfb4ee1f))
(pin "11" (uuid 717ac958-a488-4766-81fd-768db241fbab))
(pin "12" (uuid d162ea18-85d5-43a4-a15a-6f1713108b13))
(pin "14" (uuid e50bb469-b82c-4423-9dcd-5411fe219c15))
(pin "15" (uuid 6c370e53-5033-4bda-8b61-f63c9d2a27cc))
(pin "1" (uuid 91fb4fdf-4603-41fb-8f56-183b75ea923a))
(pin "8" (uuid 802c2352-f440-4d45-8515-d5c7e96b4859))
)
(symbol (lib_id "4xxx:4049") (at 429.26 227.33 0) (unit 2)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23c7dd)
(property "Reference" "UB2" (id 0) (at 429.26 219.2782 0))
(property "Value" "4049" (id 1) (at 429.26 221.5896 0))
(property "Footprint" "" (id 2) (at 429.26 227.33 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.intersil.com/content/dam/intersil/documents/cd40/cd4049ubms.pdf" (id 3) (at 429.26 227.33 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "2" (uuid c3560cd3-c323-4cde-a004-174ca2c2e659))
(pin "3" (uuid bfc9b099-0b47-4013-b0a8-ab4bb7685eef))
(pin "4" (uuid 593e50a7-7ed3-42a3-8a6e-1252992af59d))
(pin "5" (uuid 3f1c13e2-9cf4-4273-b1bd-9f5d92a00f8c))
(pin "6" (uuid 36377063-c369-485e-bb81-faf4d375caf1))
(pin "7" (uuid b2aedfb0-6c65-4a8d-84e5-1176a2249793))
(pin "10" (uuid 41f29316-4865-4fdc-95a7-0e031cd244dc))
(pin "9" (uuid e64968c4-2ffa-42fc-b726-d52ed3571a91))
(pin "11" (uuid c5b2e286-6df8-4719-beb0-9011d8d7a518))
(pin "12" (uuid ea349be4-9b12-4ba2-bbb1-2d5eb6b3c612))
(pin "14" (uuid 2aa6c4c4-1886-46ca-a300-955a9770564c))
(pin "15" (uuid 66b5a2c9-dd89-454a-b5d9-f540e7216201))
(pin "1" (uuid 6496f578-3a02-4863-96e4-60d00866d1f6))
(pin "8" (uuid a1fe30b2-5720-450f-b436-18e6042c0701))
)
(symbol (lib_id "4xxx:4049") (at 438.15 233.68 0) (unit 3)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23c7e3)
(property "Reference" "UB2" (id 0) (at 441.96 236.22 0))
(property "Value" "4049" (id 1) (at 439.42 237.49 0))
(property "Footprint" "" (id 2) (at 438.15 233.68 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.intersil.com/content/dam/intersil/documents/cd40/cd4049ubms.pdf" (id 3) (at 438.15 233.68 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "2" (uuid 24fa1f07-ee69-4823-aa76-dd1e98615687))
(pin "3" (uuid 7c67e611-fd0f-49b1-8baf-c06bac075f46))
(pin "4" (uuid 94a63e65-a931-4e59-8e72-a972ba087ed4))
(pin "5" (uuid 2e14fa3d-8718-426a-93b7-bb30b32f55ff))
(pin "6" (uuid b06e75fe-b41d-448c-a89d-3a46367c1101))
(pin "7" (uuid 21ae965e-61e5-46c2-8475-f9ad4f2195eb))
(pin "10" (uuid 5f8893e6-0a77-4aa6-8908-64e121699dc4))
(pin "9" (uuid 647d05fc-299a-4e28-a980-d45f92795bbe))
(pin "11" (uuid da8c0cde-ae6a-403a-9e6c-fdba3c6e1c8c))
(pin "12" (uuid e1728fe1-6e66-429f-9dee-cb95de32b386))
(pin "14" (uuid f048acd5-bffa-4c14-9de4-b7905082f700))
(pin "15" (uuid c91629f4-0926-48bd-aca4-6313b51ddcfa))
(pin "1" (uuid 6065f1bb-a1ec-4464-8443-8a1f29a2f6f3))
(pin "8" (uuid a543486a-3a0e-4854-926d-48bcde7b043d))
)
(symbol (lib_id "4xxx:4049") (at 354.33 187.96 0) (unit 4)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23c7e9)
(property "Reference" "UB2" (id 0) (at 354.33 179.9082 0))
(property "Value" "4049" (id 1) (at 354.33 182.2196 0))
(property "Footprint" "" (id 2) (at 354.33 187.96 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.intersil.com/content/dam/intersil/documents/cd40/cd4049ubms.pdf" (id 3) (at 354.33 187.96 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "2" (uuid c4abfd7a-6c3b-4db2-84d9-6eac060d1839))
(pin "3" (uuid c68bbd8b-9e0d-4bbc-bc81-7ee716dedd2c))
(pin "4" (uuid 0492a1b1-8981-4d06-92e8-1734ae43e3a6))
(pin "5" (uuid e103d969-abeb-4d33-958e-38b8c153dec1))
(pin "6" (uuid 1633936a-81ad-418f-8eff-e140c61a668e))
(pin "7" (uuid face1352-a1e1-4374-9cb4-4d8819949339))
(pin "10" (uuid ab8c7ff8-e06c-422b-b116-3dc30da3b3f0))
(pin "9" (uuid 4d4e426a-9a6c-4a5e-be2f-ae1c7dbbb7e9))
(pin "11" (uuid ee94cab1-9cf6-444e-9c57-69bb216d2f51))
(pin "12" (uuid a64cf00e-f29c-484b-83ea-5c7c529eec01))
(pin "14" (uuid c6c395b3-f44e-458f-a9f7-40de67891c86))
(pin "15" (uuid 7d0c49c4-2d73-408b-a847-1672684cdcb5))
(pin "1" (uuid f10e626a-1b4c-486a-a5ef-3261ad3e37df))
(pin "8" (uuid 5712567d-d4d8-4b18-916e-f84157e4357c))
)
(symbol (lib_id "4xxx:4049") (at 351.79 179.07 0) (unit 5)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23c7ef)
(property "Reference" "UB2" (id 0) (at 354.33 181.61 0))
(property "Value" "4049" (id 1) (at 353.06 184.15 0))
(property "Footprint" "" (id 2) (at 351.79 179.07 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.intersil.com/content/dam/intersil/documents/cd40/cd4049ubms.pdf" (id 3) (at 351.79 179.07 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "2" (uuid c7f3b451-86e6-4fdf-8293-de15b5a9c802))
(pin "3" (uuid 1a61eb1d-8cfb-46d2-ab27-7dc0a8a1e84d))
(pin "4" (uuid 8a95cb4b-5ef5-4bfd-8529-5419d8701a09))
(pin "5" (uuid c223af10-356c-4ad5-8b59-3776f6bf499c))
(pin "6" (uuid 50cf05a4-48d1-469c-87ae-424004f7b317))
(pin "7" (uuid ba9bf065-e5b9-4d41-a1d7-2185156d8ad5))
(pin "10" (uuid acdce348-c4b4-410b-85e9-66550d1bb32d))
(pin "9" (uuid f9b82d54-2a92-485c-a4de-14509013040f))
(pin "11" (uuid bce09df9-f6bd-488b-b8d2-a948a11b7c26))
(pin "12" (uuid f428394f-14e1-4f97-af82-f7d7f4808a33))
(pin "14" (uuid 62f50e83-3c9e-415c-a40e-2910695f3900))
(pin "15" (uuid 5ff42e72-ae23-4d35-98fb-1fbcbe848946))
(pin "1" (uuid 2101576b-71a7-4727-9e89-195cd81aad9c))
(pin "8" (uuid 913dc994-8f50-4eda-9bd3-aefeceb27306))
)
(symbol (lib_id "4xxx:4049") (at 388.62 40.64 0) (unit 6)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23c7f5)
(property "Reference" "UB2" (id 0) (at 388.62 32.5882 0))
(property "Value" "4049" (id 1) (at 388.62 34.8996 0))
(property "Footprint" "" (id 2) (at 388.62 40.64 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.intersil.com/content/dam/intersil/documents/cd40/cd4049ubms.pdf" (id 3) (at 388.62 40.64 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "2" (uuid 0dad0716-980e-4be3-8e54-655fa82711b4))
(pin "3" (uuid 14766098-c5fb-483a-af5b-0d7ca98a4570))
(pin "4" (uuid b6d3b2ac-9825-49b8-959e-40238430659b))
(pin "5" (uuid b6499674-e68a-4959-b96d-d1958c070ee1))
(pin "6" (uuid 4f56c628-a93d-4d72-aa50-7b4628e79036))
(pin "7" (uuid 734e0536-cae5-4269-8a4e-25784d29f459))
(pin "10" (uuid 5b660a0b-b021-4814-ad79-22e40457d155))
(pin "9" (uuid bf95b45e-3168-45aa-82f9-b705511e3e22))
(pin "11" (uuid 7ef6434f-27c0-43d4-b5c1-fcc172f1504d))
(pin "12" (uuid cbe788ae-93b9-4b06-8929-22e1d2f40a13))
(pin "14" (uuid 802652e6-fefc-49ad-932b-1a594a459010))
(pin "15" (uuid 433ec4f2-f2bd-4ace-8e7a-880980a8f5d7))
(pin "1" (uuid fd03f0b2-dca9-421b-80fe-30abfaf89112))
(pin "8" (uuid d9d0239a-ee28-464c-b277-5b3f8c00d947))
)
(symbol (lib_id "4xxx:4049") (at 439.42 179.07 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23ca0b)
(property "Reference" "UB3" (id 0) (at 439.42 171.0182 0))
(property "Value" "4049" (id 1) (at 439.42 173.3296 0))
(property "Footprint" "" (id 2) (at 439.42 179.07 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.intersil.com/content/dam/intersil/documents/cd40/cd4049ubms.pdf" (id 3) (at 439.42 179.07 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "2" (uuid 78a72152-9de9-49fe-9b35-e57a0f51309a))
(pin "3" (uuid b00dcb2b-2d4b-48e3-a844-ce88e535c84c))
(pin "4" (uuid 5ab1d4f9-ce96-49be-aff8-95e6a3cc5425))
(pin "5" (uuid 2f1d6e27-47d4-48a8-b577-6b6378f1b31a))
(pin "6" (uuid b3f7195f-c3bd-447b-bd42-76576cbfa04d))
(pin "7" (uuid 31fb6257-7c97-4665-9aa8-5858aafe3225))
(pin "10" (uuid 42e5e73b-331d-41b4-a883-0ff144a8e403))
(pin "9" (uuid 5b481a2e-abb9-4913-bf9b-ef364ac77caf))
(pin "11" (uuid 254a66f9-1c27-4e4a-832d-fb7b0e425b87))
(pin "12" (uuid fc92e025-f63d-4b52-889d-303fcf88b745))
(pin "14" (uuid 1c962099-0117-40a0-99e2-566f2851f269))
(pin "15" (uuid 57577f73-3713-49f6-8b38-8d8d7573c02c))
(pin "1" (uuid bf14db63-cf22-47f5-932a-1a91e3bca841))
(pin "8" (uuid 6a62f0b6-5564-4062-b18e-e6775fe92387))
)
(symbol (lib_id "4xxx:4049") (at 173.99 218.44 0) (unit 2)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23ca11)
(property "Reference" "UB3" (id 0) (at 173.99 210.3882 0))
(property "Value" "4049" (id 1) (at 173.99 212.6996 0))
(property "Footprint" "" (id 2) (at 173.99 218.44 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.intersil.com/content/dam/intersil/documents/cd40/cd4049ubms.pdf" (id 3) (at 173.99 218.44 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "2" (uuid 8f0ddac1-d81a-4f57-bdd1-650bfcc5281f))
(pin "3" (uuid 8dca38cb-cc41-4802-91b0-899131779706))
(pin "4" (uuid fdb6c9d5-ae22-47ba-83cc-f7b9eab90b92))
(pin "5" (uuid e2a6087e-a129-4ff6-80a3-6e2c155bd597))
(pin "6" (uuid 21691a1c-b383-4c10-b568-350159023ee0))
(pin "7" (uuid 4dde6a37-6b72-45c5-a313-82955c31e009))
(pin "10" (uuid 893a3299-639f-485c-8f78-ba4001ff3e57))
(pin "9" (uuid bfe106e3-8377-4ae2-b8de-0c2ad55d36a9))
(pin "11" (uuid 6db64368-35a6-4349-a0fd-fd36851752d8))
(pin "12" (uuid 5b207b58-d72a-49bf-beb0-963512ccdb8d))
(pin "14" (uuid 151e6b6e-daf9-45f1-93db-64aa3285d270))
(pin "15" (uuid 03cf8d8b-4a7d-4f06-b39c-3c7ea09ee6a7))
(pin "1" (uuid 1e9ac79c-6925-44d3-a5bc-0ce1d4681999))
(pin "8" (uuid 7c90c976-062d-412c-ae70-0f482203e97a))
)
(symbol (lib_id "4xxx:4049") (at 447.04 223.52 0) (unit 3)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23ca17)
(property "Reference" "UB3" (id 0) (at 447.04 215.4682 0))
(property "Value" "4049" (id 1) (at 447.04 217.7796 0))
(property "Footprint" "" (id 2) (at 447.04 223.52 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.intersil.com/content/dam/intersil/documents/cd40/cd4049ubms.pdf" (id 3) (at 447.04 223.52 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "2" (uuid 64a08b69-715e-47ea-9371-b84fdde300f9))
(pin "3" (uuid 9dfac286-c2bd-47ae-93db-9e95db70f8b8))
(pin "4" (uuid 91171a7a-c57b-4a2c-980f-456b618558f3))
(pin "5" (uuid 0c678259-99ab-4543-9152-74dc56435166))
(pin "6" (uuid 92ebfbbf-9968-4851-a8f2-0a19d327d12c))
(pin "7" (uuid ab264056-5ff4-429a-a01d-a67e254c90f7))
(pin "10" (uuid 60029d11-c038-499a-8677-164e3ce15081))
(pin "9" (uuid a834c9d2-cb57-413d-a215-a93dba1724e2))
(pin "11" (uuid 240ae9a8-85fd-4068-abda-d6267e26d2e7))
(pin "12" (uuid ac7e5696-1091-41e0-aa81-d482d7434664))
(pin "14" (uuid f8381e75-ca0b-4ea2-b315-f47b9f6cdb1b))
(pin "15" (uuid a55787c1-7bee-4247-af4b-3b2dae0fbae9))
(pin "1" (uuid 0be18fe0-f2dc-4b83-ac6b-3aeef07e6679))
(pin "8" (uuid 4214859b-7d37-400f-b1b0-3a1f141977c2))
)
(symbol (lib_id "4xxx:4049") (at 353.06 163.83 0) (unit 4)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23ca1d)
(property "Reference" "UB3" (id 0) (at 353.06 155.7782 0))
(property "Value" "4049" (id 1) (at 353.06 158.0896 0))
(property "Footprint" "" (id 2) (at 353.06 163.83 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.intersil.com/content/dam/intersil/documents/cd40/cd4049ubms.pdf" (id 3) (at 353.06 163.83 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "2" (uuid ad0c5d76-7a00-45da-b6c1-6dea35adddb5))
(pin "3" (uuid 25261737-bf81-4c27-982d-1773275f0e37))
(pin "4" (uuid 2e90f126-9bc0-4837-8965-44e88b7b423e))
(pin "5" (uuid 99bb507e-1383-4566-b33a-98d15cd77811))
(pin "6" (uuid 81aa6056-1bfd-4d1f-b7f3-99037a166341))
(pin "7" (uuid 5ecb624a-1ea5-445a-aff1-49ea928ede0e))
(pin "10" (uuid 712c997d-bf36-47be-b702-aee66a17d22e))
(pin "9" (uuid 1ebe30fe-a037-4170-ad12-191c88a46a52))
(pin "11" (uuid 21ee9a65-5337-4cf2-9acd-d8818c3f21c3))
(pin "12" (uuid 49586c73-c9e4-4faa-b24b-60ccb2a39c1c))
(pin "14" (uuid e4ca913a-ec33-4276-8484-b356d8ea6301))
(pin "15" (uuid 2fc5321c-b337-4422-a636-178ade1da357))
(pin "1" (uuid 1aef1360-8ce3-489c-b89a-28e42d6100e1))
(pin "8" (uuid 5828f0a6-d2fa-4be5-98be-c9c562e8e65a))
)
(symbol (lib_id "4xxx:4049") (at 359.41 168.91 0) (unit 5)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23ca23)
(property "Reference" "UB3" (id 0) (at 361.95 166.37 0))
(property "Value" "4049" (id 1) (at 360.68 171.45 0))
(property "Footprint" "" (id 2) (at 359.41 168.91 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.intersil.com/content/dam/intersil/documents/cd40/cd4049ubms.pdf" (id 3) (at 359.41 168.91 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "2" (uuid ae308ef5-2e95-4778-92e3-46e5301be5ab))
(pin "3" (uuid a9b46491-f324-4b6e-87cc-4286b820881a))
(pin "4" (uuid 1f2b792a-defb-449e-ad61-aacb11131800))
(pin "5" (uuid f325138a-59b5-4c6c-88d0-0ef721981e70))
(pin "6" (uuid 900634b8-f2c0-4de0-be8d-efa0bd0b9fef))
(pin "7" (uuid cacf36e9-28a5-4fd3-806a-e82cd25a8310))
(pin "10" (uuid c206d901-6df9-49f6-b205-b57dd77c3c62))
(pin "9" (uuid 35a785dc-c364-40c5-8bd2-e58792ef2147))
(pin "11" (uuid 5c509cad-ab90-4dc2-b329-f83628209f6d))
(pin "12" (uuid 9e35a425-56f4-4fd0-be46-0c694cfbde32))
(pin "14" (uuid 89550de9-af7b-430a-a207-39098e04834a))
(pin "15" (uuid ca62b2e0-77ed-49bd-b2b0-c4c441d6a9c4))
(pin "1" (uuid 5d2bb66f-b454-4ccc-aad0-232ad191d82f))
(pin "8" (uuid 3fd5662b-98a0-4936-a3e4-3ffbf035c05f))
)
(symbol (lib_id "4xxx:4049") (at 422.91 184.15 0) (unit 6)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23ca29)
(property "Reference" "UB3" (id 0) (at 422.91 176.0982 0))
(property "Value" "4049" (id 1) (at 422.91 178.4096 0))
(property "Footprint" "" (id 2) (at 422.91 184.15 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.intersil.com/content/dam/intersil/documents/cd40/cd4049ubms.pdf" (id 3) (at 422.91 184.15 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "2" (uuid a7e8c57c-0d77-48a7-8348-032e37b6db68))
(pin "3" (uuid 6a764a5a-78ce-42fd-83d4-6f9f7fa61eee))
(pin "4" (uuid 661792d5-666d-4d46-8022-ff1ef2cabfcd))
(pin "5" (uuid 527c0b3f-402b-4e56-8668-969185c734e6))
(pin "6" (uuid f6acbd5b-639c-4eb8-8576-184435fa95d7))
(pin "7" (uuid 461de5ee-9f0f-4f81-a78d-a44057d810a1))
(pin "10" (uuid ee9b1d2b-b87c-41a9-92f7-bc77a8637cba))
(pin "9" (uuid 238099fb-9a93-4533-8ec0-58ab635e5b3f))
(pin "11" (uuid 3323403f-32b2-43f7-8c6b-e9a7ea39abaa))
(pin "12" (uuid 05caa259-9872-4c5f-8727-ed76b45605f6))
(pin "14" (uuid f880bce6-1c64-44c5-8119-267370af1bb5))
(pin "15" (uuid 65000e48-b419-4c0b-89ab-5e5dcafce8e1))
(pin "1" (uuid b8e0ddba-5d27-47b7-b2b2-dcf359858393))
(pin "8" (uuid 9b762144-1f1a-40c4-8487-943de99e8a39))
)
(symbol (lib_id "4xxx:4049") (at 408.94 40.64 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23ca2f)
(property "Reference" "UB4" (id 0) (at 408.94 32.5882 0))
(property "Value" "4049" (id 1) (at 408.94 34.8996 0))
(property "Footprint" "" (id 2) (at 408.94 40.64 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.intersil.com/content/dam/intersil/documents/cd40/cd4049ubms.pdf" (id 3) (at 408.94 40.64 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "2" (uuid 90a49dc8-cd91-45e9-aa56-29213f2742a9))
(pin "3" (uuid c9724f67-b278-44db-9fce-8a6d615810b1))
(pin "4" (uuid 406b6784-5749-4437-8927-7f86165017c5))
(pin "5" (uuid 53c46d12-d18e-49fd-8ddc-66a08251f2ce))
(pin "6" (uuid 840795ce-476f-42fb-bef5-2fe3caf56d5e))
(pin "7" (uuid 5c046a41-8936-451b-8f5f-4aa515d98654))
(pin "10" (uuid a6980767-4ea1-44b5-b8a4-570f88f4ea5e))
(pin "9" (uuid c2e78dad-522a-41e1-a324-9166ffa40063))
(pin "11" (uuid c49d1f27-3442-439e-a94d-a95a8fe8b78f))
(pin "12" (uuid 362cebdd-66c5-4936-b30c-e9f557fe0828))
(pin "14" (uuid 030103c4-c8c6-4522-907b-0aa202ab118f))
(pin "15" (uuid 1e676a26-1f05-464b-b330-4c6a94f4426e))
(pin "1" (uuid 9a603c2d-9f4b-4ff1-94fb-6fdf5e409347))
(pin "8" (uuid 66fb5e52-80a3-40aa-a6c0-957875ab1541))
)
(symbol (lib_id "4xxx:4049") (at 408.94 49.53 0) (unit 2)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23ca35)
(property "Reference" "UB4" (id 0) (at 408.94 41.4782 0))
(property "Value" "4049" (id 1) (at 408.94 43.7896 0))
(property "Footprint" "" (id 2) (at 408.94 49.53 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.intersil.com/content/dam/intersil/documents/cd40/cd4049ubms.pdf" (id 3) (at 408.94 49.53 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "2" (uuid 7c47bb13-e2c5-4243-8d07-5f1ce23da6b0))
(pin "3" (uuid cb609590-f467-45ef-bd1c-77e2d72057cc))
(pin "4" (uuid 8a97a49f-0ee9-48db-9531-6a6b4a0de3b0))
(pin "5" (uuid 363c94ec-0c22-43a2-8230-b35e3a1eea5c))
(pin "6" (uuid 68f8a49c-28af-4beb-8f85-1403716081d5))
(pin "7" (uuid 852e10c9-3a33-4ace-8d77-68024f3bef2c))
(pin "10" (uuid fe0df404-447e-4a35-8a85-d6aadede5e6d))
(pin "9" (uuid 9ced0898-4869-4bc8-91e4-64d33f1d5068))
(pin "11" (uuid 80463989-3030-418c-bb12-7cfc89c74a57))
(pin "12" (uuid 1a9b913f-fbd9-44f5-b2c3-218aa230ab03))
(pin "14" (uuid 30721761-6b85-4f92-9323-6ed232026f28))
(pin "15" (uuid 9ebee7e2-e356-4c35-ba1c-096eedf94e8a))
(pin "1" (uuid 3e4f0b10-e024-4083-a574-dbb0433d284e))
(pin "8" (uuid 84e056d5-c2bd-4e84-92ea-9e004ebdf413))
)
(symbol (lib_id "4xxx:4049") (at 408.94 58.42 0) (unit 3)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23ca3b)
(property "Reference" "UB4" (id 0) (at 408.94 50.3682 0))
(property "Value" "4049" (id 1) (at 408.94 52.6796 0))
(property "Footprint" "" (id 2) (at 408.94 58.42 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.intersil.com/content/dam/intersil/documents/cd40/cd4049ubms.pdf" (id 3) (at 408.94 58.42 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "2" (uuid d4c16314-d710-45f7-97e1-4776abd3d34b))
(pin "3" (uuid 84649d48-8b71-480a-bfb7-95626302ebb5))
(pin "4" (uuid d258b52e-1c8c-4aaa-ba21-9294f838814c))
(pin "5" (uuid 2e841be3-b415-42af-91b9-0c65e52b7c22))
(pin "6" (uuid f6e4bbec-6826-4ad6-9c75-115332a4143d))
(pin "7" (uuid d638256d-93db-47f8-aff4-98f855a2f691))
(pin "10" (uuid 185aef56-9557-4d04-959f-eedbf6fee6f6))
(pin "9" (uuid 81112e75-6b0a-4adb-b393-97232f774df9))
(pin "11" (uuid 4007daee-1623-479a-bd99-ba88a39ed0e5))
(pin "12" (uuid c5b3e544-db5a-4d38-bf7e-e87fdea995b8))
(pin "14" (uuid 883dd37e-667d-479e-beec-2b830d496561))
(pin "15" (uuid 1a95b0b3-29e4-4a4e-b076-a29cc45273df))
(pin "1" (uuid eb2aa9f4-5336-428d-b5d2-74f875852eb7))
(pin "8" (uuid cedd1be9-dcab-4837-bb32-c63a65796fdd))
)
(symbol (lib_id "4xxx:4049") (at 408.94 67.31 0) (unit 4)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23ca41)
(property "Reference" "UB4" (id 0) (at 408.94 59.2582 0))
(property "Value" "4049" (id 1) (at 408.94 61.5696 0))
(property "Footprint" "" (id 2) (at 408.94 67.31 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.intersil.com/content/dam/intersil/documents/cd40/cd4049ubms.pdf" (id 3) (at 408.94 67.31 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "2" (uuid 13ced954-30b5-4353-a474-555c101fdebd))
(pin "3" (uuid 72fbc302-c9ef-4cd3-993d-a1db2ceb526b))
(pin "4" (uuid 5795cffb-8960-4119-b152-462e548e6463))
(pin "5" (uuid 93c34418-4943-47e5-b375-38612b58e321))
(pin "6" (uuid cf7424fb-6d07-479e-9720-0644d0f77bd8))
(pin "7" (uuid 8bb91204-3882-44d4-9a41-376b35591297))
(pin "10" (uuid 30571abf-4e3e-4e0a-b74d-1b5c3067c37a))
(pin "9" (uuid 2c6a01ee-1cc0-4f0a-8e9e-dfbcde667563))
(pin "11" (uuid 06851f11-67b9-47c2-8ddd-a01a3455c235))
(pin "12" (uuid 202a2d13-c8c7-4c18-aa75-8e9798dfe9b3))
(pin "14" (uuid 66ebb0c0-a678-4a0a-833b-dc36ba83547f))
(pin "15" (uuid a9033f3c-0e44-492b-9293-123f6a2dd3c5))
(pin "1" (uuid bcd5b6cd-8558-4690-8bab-d36a4233e3e0))
(pin "8" (uuid cae5c7f8-1975-4d1c-949d-8ca80e9c6d86))
)
(symbol (lib_id "4xxx:4049") (at 265.43 172.72 0) (unit 5)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23ca47)
(property "Reference" "UB4" (id 0) (at 265.43 164.6682 0))
(property "Value" "4049" (id 1) (at 265.43 166.9796 0))
(property "Footprint" "" (id 2) (at 265.43 172.72 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.intersil.com/content/dam/intersil/documents/cd40/cd4049ubms.pdf" (id 3) (at 265.43 172.72 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "2" (uuid f8c279e7-7373-4b55-a76a-193e48ab0aff))
(pin "3" (uuid 7461d4b0-9d0c-46ca-b768-a0270946e3da))
(pin "4" (uuid dc5f3212-03d3-4afc-8668-d88affa0b595))
(pin "5" (uuid 9a0b509c-0e27-47e0-a6df-f612c914e792))
(pin "6" (uuid ffa1e1b4-1c43-43a4-916c-e5ba59dbb704))
(pin "7" (uuid 35333e9b-2489-4b96-a6f3-bb2232830c01))
(pin "10" (uuid 3ddaf4d1-e3a3-49dc-b5c2-4120302e2c10))
(pin "9" (uuid 1078755b-02c3-463e-954a-a01cc04759f1))
(pin "11" (uuid 4ee7d6c7-85b8-48fc-bb13-2d0585729c74))
(pin "12" (uuid bc02c8c4-b47e-4ebf-b332-d7c64ab70d34))
(pin "14" (uuid c01efe37-eaf1-4330-8fb6-d92bce1d3a2a))
(pin "15" (uuid 518aa6cd-5dc2-4015-9abb-eaaa19e1dcc3))
(pin "1" (uuid feea5c05-7f15-4562-805f-efb2d384d68b))
(pin "8" (uuid 580e0a08-a6a7-47f6-8b70-161675c2b427))
)
(symbol (lib_id "4xxx:4049") (at 341.63 120.65 0) (unit 6)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23ca4d)
(property "Reference" "UB4" (id 0) (at 341.63 128.7018 0))
(property "Value" "4049" (id 1) (at 341.63 126.3904 0))
(property "Footprint" "" (id 2) (at 341.63 120.65 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.intersil.com/content/dam/intersil/documents/cd40/cd4049ubms.pdf" (id 3) (at 341.63 120.65 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "2" (uuid 0375594b-3ccd-4d0f-b83e-318e43df4472))
(pin "3" (uuid e5227077-002a-4aea-ad79-e83c670f4811))
(pin "4" (uuid fd846e67-8da0-40ab-a0b5-908cf6d8649f))
(pin "5" (uuid c410c175-8dcf-4949-b761-c4530cc97d4f))
(pin "6" (uuid 5592654e-a927-4db6-8854-4d235b2d1ced))
(pin "7" (uuid 68e903b1-87d5-4547-a120-4fbdaba33ac3))
(pin "10" (uuid 7478254b-06c5-43a0-8b11-2f73e5b3fa06))
(pin "9" (uuid e803431b-fcbe-4a52-b430-2dcc58a36d39))
(pin "11" (uuid cb7e7432-f872-4dbd-b90b-15cf9851804e))
(pin "12" (uuid 697b03e9-5996-4c19-a11b-f21a7ed576a3))
(pin "14" (uuid 3e6b84d8-2152-497a-b857-eb2d381b3fd9))
(pin "15" (uuid 939e30b4-230a-4371-9d73-3a8026d70b28))
(pin "1" (uuid 45796990-bcb8-4f27-85c1-f007907950ad))
(pin "8" (uuid 7f344869-e0ba-4103-889a-d6f719012ce8))
)
(symbol (lib_id "4xxx:4049") (at 259.08 195.58 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23cb97)
(property "Reference" "UB5" (id 0) (at 259.08 187.5282 0))
(property "Value" "4049" (id 1) (at 259.08 189.8396 0))
(property "Footprint" "" (id 2) (at 259.08 195.58 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.intersil.com/content/dam/intersil/documents/cd40/cd4049ubms.pdf" (id 3) (at 259.08 195.58 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "2" (uuid 40f5a5e6-73e6-4731-b8dc-cd71a2e76ac1))
(pin "3" (uuid 7577e57e-5b55-43bc-b7b3-4c0d18ef2821))
(pin "4" (uuid e0068ac3-861b-48e7-90c9-e78bc182f750))
(pin "5" (uuid 32ccdd42-96f3-4704-823c-4dd9623590a0))
(pin "6" (uuid 1e40c4e5-675b-4738-9d60-9e895d4a3552))
(pin "7" (uuid 83576466-48b5-4cd4-8ff6-1bf9f11c2063))
(pin "10" (uuid 2740ccff-4ebd-48b5-98e9-6b43e44636e4))
(pin "9" (uuid 1cc830ff-b22c-4c3e-b232-fa69956048bc))
(pin "11" (uuid 477c356e-30e7-4bb9-87d5-2822004fddfa))
(pin "12" (uuid d31c9b28-a651-4177-92b7-709e1f08ea27))
(pin "14" (uuid ad696080-92a9-46d9-bcf1-def032b34eca))
(pin "15" (uuid 8d1d56b8-632e-4f65-874d-610ca97898f5))
(pin "1" (uuid e632ebdd-35f5-4719-81d5-ed07eeb93af2))
(pin "8" (uuid 81963b2e-256c-406c-93b5-cbd56f0361a7))
)
(symbol (lib_id "4xxx:4049") (at 344.17 259.08 0) (unit 2)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23cb9d)
(property "Reference" "UB5" (id 0) (at 344.17 251.0282 0))
(property "Value" "4049" (id 1) (at 344.17 253.3396 0))
(property "Footprint" "" (id 2) (at 344.17 259.08 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.intersil.com/content/dam/intersil/documents/cd40/cd4049ubms.pdf" (id 3) (at 344.17 259.08 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "2" (uuid 8c8ffe0c-e1c1-4e04-ac03-a5fac3c8bf8c))
(pin "3" (uuid bb0d96da-08d6-4d82-903a-8265bb6bd010))
(pin "4" (uuid 0c9bae13-f24e-4e7c-861e-b76f4fca62a7))
(pin "5" (uuid 912d4a2a-3b61-4ffa-8943-2712435ac4fd))
(pin "6" (uuid 2da79b36-c46a-4719-affa-3ac86932b47b))
(pin "7" (uuid 7a06a728-c6db-47ae-8d5b-4d386294c7cd))
(pin "10" (uuid 6b767599-b43d-48e6-90a1-2d965f08dfed))
(pin "9" (uuid 18383759-fab2-41da-943e-3d4edb25e670))
(pin "11" (uuid a2af879c-7c87-4ec6-a26c-71a819fe0d80))
(pin "12" (uuid 4910c0f8-5cd3-4569-b3f0-19453a9bd195))
(pin "14" (uuid cbc0226c-373b-4d1a-a80a-2bbd7f6ae20f))
(pin "15" (uuid 7ce48b7c-73eb-43d2-8e1e-5e623335cd21))
(pin "1" (uuid 85eedeb4-40c7-40e0-a3b1-3e79287396d9))
(pin "8" (uuid 9829b5f0-b44e-4e74-b881-e421b4f10c70))
)
(symbol (lib_id "4xxx:4049") (at 267.97 227.33 0) (unit 3)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23cba3)
(property "Reference" "UB5" (id 0) (at 267.97 219.2782 0))
(property "Value" "4049" (id 1) (at 267.97 221.5896 0))
(property "Footprint" "" (id 2) (at 267.97 227.33 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.intersil.com/content/dam/intersil/documents/cd40/cd4049ubms.pdf" (id 3) (at 267.97 227.33 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "2" (uuid f6b92791-8eb8-4584-baa9-4d4e0b5a2498))
(pin "3" (uuid 728eebcb-fa87-4618-babd-f0abf585ddcc))
(pin "4" (uuid dff7200d-e0fb-4cfa-9a57-f582fb11f300))
(pin "5" (uuid c53c5f7f-74c3-4a15-8812-6b539f7c2a96))
(pin "6" (uuid f2e400bf-3557-4545-b102-18b6ebc2b969))
(pin "7" (uuid 6099ed2d-7e4f-4243-ab77-e1f979f30b19))
(pin "10" (uuid e2f40ba7-3fb1-4677-bfc4-dc3e6dfdda0a))
(pin "9" (uuid 24db9fb4-fccb-4e28-83bb-ef222257bf83))
(pin "11" (uuid de698a93-95a0-4193-9c39-918654a2bba1))
(pin "12" (uuid aa60bfcf-b9b1-4a20-bf78-02313b4142ca))
(pin "14" (uuid 82c3de5d-e85a-4a4c-b637-9120fc558468))
(pin "15" (uuid 11a0ca2c-2cdd-49f9-81c7-86bb326dfc8d))
(pin "1" (uuid 97fbfac0-9c0b-41e8-99d7-748242c7e6e9))
(pin "8" (uuid 8579e345-2dd8-40e1-89eb-93efb5bda361))
)
(symbol (lib_id "4xxx:4049") (at 260.35 222.25 0) (unit 4)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23cba9)
(property "Reference" "UB5" (id 0) (at 260.35 214.1982 0))
(property "Value" "4049" (id 1) (at 260.35 216.5096 0))
(property "Footprint" "" (id 2) (at 260.35 222.25 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.intersil.com/content/dam/intersil/documents/cd40/cd4049ubms.pdf" (id 3) (at 260.35 222.25 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "2" (uuid 2cc7eb28-89c5-435f-af3b-d360bf1da140))
(pin "3" (uuid 25e25fa8-d6bf-43b8-81b8-180c4cfc5965))
(pin "4" (uuid ad3074c5-0137-4fc1-aebe-f729fb9f18bc))
(pin "5" (uuid 08f7650f-f92f-4ddb-affb-843c284fc7d0))
(pin "6" (uuid 371c751e-6930-4bf0-96b4-e81c1c620c23))
(pin "7" (uuid ac05f1b5-194a-4d72-b511-b5266fac0953))
(pin "10" (uuid 29790fe9-52bc-418c-9ad5-790add6a2522))
(pin "9" (uuid 0fe2d335-fbc5-470a-84bd-5b80f5155b19))
(pin "11" (uuid c3a81916-a30f-49ae-b9e0-a6019bc592a6))
(pin "12" (uuid fdb2d78b-c354-47a8-8214-6f0875bbb865))
(pin "14" (uuid 1c6aac6a-254a-4453-9eec-fb0944327e85))
(pin "15" (uuid 56282b24-5276-481c-8ae3-42899aad3929))
(pin "1" (uuid 9290ae89-3135-49e7-8fa5-fd61a6c92d40))
(pin "8" (uuid c2908506-b833-41a5-b109-4aaad96475bf))
)
(symbol (lib_id "4xxx:4049") (at 181.61 223.52 0) (unit 5)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23cbaf)
(property "Reference" "UB5" (id 0) (at 181.61 215.4682 0))
(property "Value" "4049" (id 1) (at 181.61 217.7796 0))
(property "Footprint" "" (id 2) (at 181.61 223.52 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.intersil.com/content/dam/intersil/documents/cd40/cd4049ubms.pdf" (id 3) (at 181.61 223.52 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "2" (uuid 93f22f5d-fb21-4606-a974-3dd4c400d708))
(pin "3" (uuid 27cac5a2-fffb-4022-8d28-ef8747e5f7cd))
(pin "4" (uuid 75995597-75b8-4636-9bb0-3a36da088eac))
(pin "5" (uuid c9a6ee78-5310-4879-9b27-4ccaebc081de))
(pin "6" (uuid 1e5add19-7d91-46d1-bf4c-6ef499f7fd34))
(pin "7" (uuid ade60f4b-6efa-44f1-bbdf-3e187fadf6c8))
(pin "10" (uuid 3ba42da5-db10-4276-be02-c4cbe8ce204c))
(pin "9" (uuid 33472339-c914-45ff-a09e-26db7d14f476))
(pin "11" (uuid ff66ceb2-c2a1-4aa8-b026-1ba9253bb24c))
(pin "12" (uuid fe98b598-6cb2-4c5f-866a-7a9e0469ec78))
(pin "14" (uuid aaa5c290-ad8d-485f-9488-9f170cae278c))
(pin "15" (uuid ee26bcfb-7c6d-4c2c-a7c1-c81c7e2b3680))
(pin "1" (uuid bbc8e468-509c-4771-9cc6-a23c5f214a58))
(pin "8" (uuid dbea3c18-d6ea-4edd-88ad-f8d8790a898b))
)
(symbol (lib_id "4xxx:4049") (at 339.09 246.38 0) (unit 6)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23cbb5)
(property "Reference" "UB5" (id 0) (at 339.09 238.3282 0))
(property "Value" "4049" (id 1) (at 339.09 240.6396 0))
(property "Footprint" "" (id 2) (at 339.09 246.38 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.intersil.com/content/dam/intersil/documents/cd40/cd4049ubms.pdf" (id 3) (at 339.09 246.38 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "2" (uuid a07b27b5-521f-4fb7-ad13-67b58d7a44aa))
(pin "3" (uuid f2d0472f-2e51-4d5a-8986-dcf362918668))
(pin "4" (uuid efcca7a2-3b12-4cea-b9ca-dd2c98428a53))
(pin "5" (uuid 678cd621-976e-4ff6-969d-231ce8fa2640))
(pin "6" (uuid 68ca1316-bd59-4960-a509-c967437e59d2))
(pin "7" (uuid 1d3d8427-8269-4100-b2d8-e1ce3f76ccd6))
(pin "10" (uuid d3e2d3b9-ad2c-4cd9-a8bd-a21a4b5b97f7))
(pin "9" (uuid 7fb3c4f0-7da9-4e23-a081-5941a9525d23))
(pin "11" (uuid 1aa88bf0-0f4a-490b-bd18-a3432d142cd7))
(pin "12" (uuid b0eccc59-ea5d-4e12-89a7-47eebfcb771a))
(pin "14" (uuid 40c97f4c-cca1-4dbc-8310-5ab298884ed0))
(pin "15" (uuid 6816a90d-d41d-4f2a-a2ca-efd53413605f))
(pin "1" (uuid adc632a8-7278-44ed-9108-574db309935a))
(pin "8" (uuid 99ddce1f-fa87-4c4c-ab3e-a43d1dc132c1))
)
(symbol (lib_id "4xxx_IEEE:4015") (at 236.22 158.75 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23d705)
(property "Reference" "USR2" (id 0) (at 236.22 147.1676 0))
(property "Value" "4015" (id 1) (at 236.22 149.479 0))
(property "Footprint" "" (id 2) (at 236.22 158.75 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 236.22 158.75 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "16" (uuid fb30e0ec-81a8-4853-b1c9-9c25ed819bef))
(pin "8" (uuid b6ce553c-6967-4eb8-9308-1908b70f61c4))
(pin "10" (uuid 63e7ffc9-8aad-40c4-a9af-2cb24476aa08))
(pin "3" (uuid 292faba3-54c0-4c27-adfa-e1568e9962e1))
(pin "4" (uuid b674899d-e4aa-4f69-aa24-1c3b189f4333))
(pin "5" (uuid cbbd935b-000a-4788-b99e-bd3b47faf06b))
(pin "6" (uuid 21900cc2-050f-4d84-ae73-0b4574cdb445))
(pin "7" (uuid a003d8da-3642-4711-be24-2d9946aed80f))
(pin "9" (uuid d25a495f-a4a8-4e24-bce6-8e909416ea9e))
(pin "1" (uuid 9410e893-5cd5-4fa6-a2ea-c2031b0d2670))
(pin "11" (uuid 57f2a9d3-599a-422a-b92d-66c3cbfd68c5))
(pin "12" (uuid d79e1a92-cb64-478a-a6bf-12a740dbe38b))
(pin "13" (uuid f2b90885-9a37-4d5d-b0b1-70d080bcf564))
(pin "14" (uuid 296e4618-178f-45e4-a641-48cfa8f26dd2))
(pin "15" (uuid 18427b0c-9b87-4e09-96de-835f61ad988c))
(pin "2" (uuid 8bcdafd1-2f6c-48e0-ba89-453c33f3f6f7))
)
(symbol (lib_id "4xxx_IEEE:4015") (at 236.22 173.99 0) (unit 2)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23d70b)
(property "Reference" "USR2" (id 0) (at 236.22 162.4076 0))
(property "Value" "4015" (id 1) (at 236.22 164.719 0))
(property "Footprint" "" (id 2) (at 236.22 173.99 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 236.22 173.99 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "16" (uuid 6e34f468-92ea-4629-b65d-da9810dffafe))
(pin "8" (uuid 58439a5b-2fe6-4a34-b9a5-e94e86b52a44))
(pin "10" (uuid 8e21c4b4-cab4-47e0-a1b2-516532768406))
(pin "3" (uuid 8206ddb4-c16c-4306-8797-532dc7d64820))
(pin "4" (uuid f9eeb2ac-3b1a-4c0c-89cb-8d6b8c596e44))
(pin "5" (uuid 520e6ee7-ffa6-4576-a490-cd8d9e088b59))
(pin "6" (uuid 33accaca-e100-408e-b060-8dc2a5aad378))
(pin "7" (uuid 8b90b221-7d79-402b-9105-8f32996019cf))
(pin "9" (uuid ac62a5fb-6763-4c53-8ed0-4c300d3f282a))
(pin "1" (uuid 53e1091d-2e86-4a1a-a760-79e6b6bc7b54))
(pin "11" (uuid c81a6a42-628a-48f5-8e4d-d1286930db4c))
(pin "12" (uuid f6928c65-9e06-454d-9b49-3f85780c465d))
(pin "13" (uuid 127c591d-0db7-4f62-b868-42b35bdd3b35))
(pin "14" (uuid 58aa2d3c-9f87-445b-8b99-8ae14894c0ec))
(pin "15" (uuid f2a4a698-8517-4c5b-be20-cdf0256af570))
(pin "2" (uuid f06643c1-9fc7-49c7-8af0-9f731d92ebe7))
)
(symbol (lib_id "4xxx_IEEE:4015") (at 328.93 165.1 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23d8a9)
(property "Reference" "USR3" (id 0) (at 328.93 153.5176 0))
(property "Value" "4015" (id 1) (at 328.93 155.829 0))
(property "Footprint" "" (id 2) (at 328.93 165.1 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 328.93 165.1 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "16" (uuid 73b2b0fe-ed07-4895-a157-6e16d5e8abdc))
(pin "8" (uuid a8629a95-90a3-485b-a2b5-c585b7411ae2))
(pin "10" (uuid 172f3846-7dd7-4b16-ba1e-70c1ec26118d))
(pin "3" (uuid a2db9d7b-b7f0-42c4-8bfa-d906fa3f908d))
(pin "4" (uuid f84a3427-be9f-4ca9-b72b-e8ac31ee73da))
(pin "5" (uuid c48d6626-f92f-484a-81bc-965fd9e17bc0))
(pin "6" (uuid 2dd35684-80cb-41f4-9bbb-f7f2a5b7488f))
(pin "7" (uuid c8f12eba-7442-4c73-9086-95bb6f9c6bfe))
(pin "9" (uuid e8015a3e-e3ba-42d5-852e-f940e7b3cf89))
(pin "1" (uuid cabc4f62-b2af-421c-bb3b-5bd4188fbbd1))
(pin "11" (uuid f6a19939-dc41-4ef6-9f0e-0e76e16175cb))
(pin "12" (uuid ae8afefd-95f0-4e5b-981b-d67ca61d3077))
(pin "13" (uuid ab772b3b-8515-4b6e-ac5c-8c44b241aeaa))
(pin "14" (uuid a9df5c10-4d09-4653-b23b-b7525e30f5a1))
(pin "15" (uuid c03d473f-04ff-4d03-af22-f56f70a2dda8))
(pin "2" (uuid b4ae86f2-9555-478b-91a5-4ba7ec90c835))
)
(symbol (lib_id "4xxx_IEEE:4015") (at 328.93 180.34 0) (unit 2)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23d8af)
(property "Reference" "USR3" (id 0) (at 328.93 168.7576 0))
(property "Value" "4015" (id 1) (at 328.93 171.069 0))
(property "Footprint" "" (id 2) (at 328.93 180.34 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 328.93 180.34 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "16" (uuid d4d501aa-439c-47ad-9930-83538704b0c3))
(pin "8" (uuid ed8c987f-056d-483c-98e7-7d5939c42242))
(pin "10" (uuid 6ce36e4b-4f01-4141-807c-8c0222876891))
(pin "3" (uuid a60db1b6-0cb6-4dcf-b397-a8a4bf0cab94))
(pin "4" (uuid e89b1dd8-7ff1-4ce6-bd2f-55c749000c23))
(pin "5" (uuid 3d46fffc-38a8-491c-8703-ed189d8dbff4))
(pin "6" (uuid ade1686f-66ed-4e59-a339-ff36a1acfdc0))
(pin "7" (uuid 18382879-20bc-4342-b726-fb4d3d09b342))
(pin "9" (uuid 1bde47f1-baf7-4ad9-ab4d-fdbe72514664))
(pin "1" (uuid 743b52b9-bd40-44ba-9cff-d24408588362))
(pin "11" (uuid a96969a4-3dc1-4d35-bee4-6d95d9eae809))
(pin "12" (uuid 17f321c0-2592-40c8-a24a-28193ed78a94))
(pin "13" (uuid cfd070a6-3319-4a40-bb5b-8a709518b353))
(pin "14" (uuid a4635c46-bd44-41b4-bd64-ef10e0c80942))
(pin "15" (uuid 5a1d8c84-8b3d-484e-bd0f-b36a54a690ba))
(pin "2" (uuid f1d05457-d10f-4806-ad2a-4589919affa5))
)
(symbol (lib_id "4xxx_IEEE:4015") (at 398.78 219.71 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23d8b5)
(property "Reference" "USR4" (id 0) (at 398.78 208.1276 0))
(property "Value" "4015" (id 1) (at 398.78 210.439 0))
(property "Footprint" "" (id 2) (at 398.78 219.71 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 398.78 219.71 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "16" (uuid 49ae8369-275c-4d43-9f0d-0b65068e531e))
(pin "8" (uuid c3376e67-1d85-4d0d-a7bc-cd1055ef0a87))
(pin "10" (uuid de2ab9ba-6f54-4c21-844e-e2bf8e65c851))
(pin "3" (uuid dac836b9-e9ae-4297-a421-aebcb7592645))
(pin "4" (uuid fc3fd5de-c9da-4196-99e9-5704e9cdb305))
(pin "5" (uuid 249bf3bc-befa-43b5-bed1-dbf0c44d1170))
(pin "6" (uuid 080d6df7-9db7-409d-a04f-e6420a091d7a))
(pin "7" (uuid ca338829-7e5e-4669-be18-0d01950af3f7))
(pin "9" (uuid 265f3760-cb8c-45e7-acc4-b1c72930ca01))
(pin "1" (uuid 03360f3b-4f99-4679-92f7-6a6a0ea4b821))
(pin "11" (uuid 0216d635-42a8-49c2-a945-669f7168fdfc))
(pin "12" (uuid 95042083-7b5a-40f4-9541-7c0dcc908031))
(pin "13" (uuid 30dc9f60-eec7-4980-b182-81028814d42a))
(pin "14" (uuid 85c1caf3-161d-423b-aba5-6b8473328a07))
(pin "15" (uuid 792e923f-c5f5-433e-a4c7-95ffb102ab1d))
(pin "2" (uuid ff73d66f-9777-4dfe-8102-ffd9ca60433f))
)
(symbol (lib_id "4xxx_IEEE:4015") (at 398.78 234.95 0) (unit 2)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23d8bb)
(property "Reference" "USR4" (id 0) (at 398.78 223.3676 0))
(property "Value" "4015" (id 1) (at 398.78 225.679 0))
(property "Footprint" "" (id 2) (at 398.78 234.95 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 398.78 234.95 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "16" (uuid d0cad310-112f-4655-9c8c-147b7cf29e53))
(pin "8" (uuid 30ed3687-c39d-48d0-ad25-443aa3a548f0))
(pin "10" (uuid 5bf1e440-9a23-4382-87ee-06a951061d14))
(pin "3" (uuid e528652a-952d-447a-8246-10d2b6113aeb))
(pin "4" (uuid 9f4f5e02-5106-4894-b883-2849bb0e81bf))
(pin "5" (uuid 9b86f33e-1b4b-4b5b-8734-5eb0106d91f0))
(pin "6" (uuid 3bb45370-b0c5-42ab-8fb7-397a8c52eee1))
(pin "7" (uuid 281ed0da-6d6a-46e8-ae7d-8ca0276033db))
(pin "9" (uuid f82fc56d-18b2-4e93-98e0-2b107b1f0229))
(pin "1" (uuid 4632273d-adbd-4fba-8873-f29b5bc7c3ce))
(pin "11" (uuid 3a93603d-83e7-4b28-bdf8-10eba025c967))
(pin "12" (uuid 63659621-5698-4853-a2c1-06a0c1866d99))
(pin "13" (uuid 36fda163-7212-4881-a566-39b3ea1ad739))
(pin "14" (uuid ec9ba13b-a617-4f93-bcb7-ed9d4f4c95d0))
(pin "15" (uuid 067f156e-d8c3-42da-b1fa-8b1e39934d2d))
(pin "2" (uuid edf3b9b1-0705-4336-98e1-a00e0437c04e))
)
(symbol (lib_id "4xxx_IEEE:4015") (at 398.78 165.1 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23dda1)
(property "Reference" "USR5" (id 0) (at 398.78 153.5176 0))
(property "Value" "4015" (id 1) (at 398.78 155.829 0))
(property "Footprint" "" (id 2) (at 398.78 165.1 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 398.78 165.1 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "16" (uuid 2eb8c36b-f75a-494f-9c7b-ec4a0cf48b96))
(pin "8" (uuid 0d5dafb8-e4f3-4d96-90ba-ffa5af05e6bc))
(pin "10" (uuid b8e69d9a-646b-4c62-ad71-55cd4d0e1af0))
(pin "3" (uuid 0a3fac1c-26fa-4155-8a72-4f4639a1842b))
(pin "4" (uuid bd156ba5-7fdc-425a-bb4e-12cab9a343f1))
(pin "5" (uuid e23b3698-8fe8-4fcb-8476-9675b0928d80))
(pin "6" (uuid a37f07af-04ef-4697-98bc-ce56c92bc6c9))
(pin "7" (uuid cd85445b-7584-4f60-bcfb-4596bf39ec3a))
(pin "9" (uuid 47405ddc-857e-475b-b48e-d5946c1ea6d3))
(pin "1" (uuid 27c06d2e-40f1-4582-970d-2d42b5fffa82))
(pin "11" (uuid 83c275f0-1357-4fdd-98a5-80ef11beb468))
(pin "12" (uuid 3caeca3e-0285-4be0-8b31-9cb4badde39c))
(pin "13" (uuid ea89893f-2424-4a93-a35c-1e74a56fe271))
(pin "14" (uuid 75c80a29-18b1-4120-beec-78f2efbc6bc2))
(pin "15" (uuid 15fac1a1-5ff6-4125-bbf5-f4effe2c7333))
(pin "2" (uuid faddbc2b-f8d5-4408-ae06-0da0e1d0e906))
)
(symbol (lib_id "4xxx_IEEE:4015") (at 398.78 180.34 0) (unit 2)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23dda7)
(property "Reference" "USR5" (id 0) (at 398.78 168.7576 0))
(property "Value" "4015" (id 1) (at 398.78 171.069 0))
(property "Footprint" "" (id 2) (at 398.78 180.34 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 398.78 180.34 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "16" (uuid 5e95db06-7439-4544-b6f8-d899b9e94e75))
(pin "8" (uuid 003135da-95ae-4492-8541-82d83e0c1e4a))
(pin "10" (uuid c24ef44c-678a-443f-b30a-837ff43b7e59))
(pin "3" (uuid d6fc01f2-7ef7-4c13-ab97-5d05461b2c4a))
(pin "4" (uuid e934e322-56a1-4201-affb-d9934c3b53f6))
(pin "5" (uuid 19572a3c-478c-4878-89c6-02316502131d))
(pin "6" (uuid 6af8f297-5715-448f-a888-2a025f8ba10e))
(pin "7" (uuid 41c8e771-ced0-4674-b256-4378bcd89fe3))
(pin "9" (uuid 1de8f7c2-2096-467d-9179-ee284235eb4a))
(pin "1" (uuid 5facb614-67fc-4de4-a939-109838a3ff5e))
(pin "11" (uuid f73bd2d8-846d-435d-95c7-a4d8166cc982))
(pin "12" (uuid a7c45ed9-0be7-465b-8be4-2e4025262e03))
(pin "13" (uuid 03dbfbe5-cb98-4e4a-9c6e-36fa5a1ae654))
(pin "14" (uuid 1a6e2cdb-f2f0-41fd-9bef-ae83cb97f44d))
(pin "15" (uuid 0afa1e76-c497-41c4-8dcc-1dfe7c2a376b))
(pin "2" (uuid 094fd81c-e1f8-4366-82d6-10e1c284b75b))
)
(symbol (lib_id "4xxx_IEEE:4015") (at 236.22 196.85 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23ddad)
(property "Reference" "USR6" (id 0) (at 236.22 185.2676 0))
(property "Value" "4015" (id 1) (at 236.22 187.579 0))
(property "Footprint" "" (id 2) (at 236.22 196.85 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 236.22 196.85 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "16" (uuid 5b14fbef-6704-499e-a9ac-06e2e6721d6e))
(pin "8" (uuid 1ff7e559-f5c9-4d08-ad10-ebdaa161424d))
(pin "10" (uuid a48fd0d6-5d30-4d01-8b05-8134b71b9e3e))
(pin "3" (uuid be0aac61-bd6f-4713-852b-e29a50ddc9bf))
(pin "4" (uuid 58a3361c-00e4-4d3a-83ef-5abab0e8bbe8))
(pin "5" (uuid 0338a205-35ae-48fe-a655-58cf8a1ef94e))
(pin "6" (uuid 80d0b072-c010-4f27-8fee-f0e632aa78bc))
(pin "7" (uuid 97657094-a198-4237-83e5-97406cd18be2))
(pin "9" (uuid 689861b0-98e0-4e90-b94d-1b9083f2e19e))
(pin "1" (uuid 894ed6af-c5c4-4b28-85ac-826084be4332))
(pin "11" (uuid 5bd4deee-bbbc-43ab-a4f0-a29b4ddac0ef))
(pin "12" (uuid e8df92b6-ba60-444d-9592-c3f2ba51681f))
(pin "13" (uuid 38f08bf8-0b06-4e41-94fd-60b560a014f3))
(pin "14" (uuid 751afbe9-ef68-4f79-b3c9-03d0153a0159))
(pin "15" (uuid db22b1c9-a88f-4107-a044-44f8ae520483))
(pin "2" (uuid 59aad5a3-ce9e-4b78-a1c9-7d40497117c1))
)
(symbol (lib_id "4xxx_IEEE:4015") (at 236.22 223.52 0) (unit 2)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23ddb3)
(property "Reference" "USR6" (id 0) (at 236.22 211.9376 0))
(property "Value" "4015" (id 1) (at 236.22 214.249 0))
(property "Footprint" "" (id 2) (at 236.22 223.52 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 236.22 223.52 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "16" (uuid 22be894f-d38a-4ac1-8b83-10dc58041bda))
(pin "8" (uuid 96e0996a-47aa-4ebf-a288-943ab871828f))
(pin "10" (uuid 3b809df8-0f3c-4934-b28b-a731ad13e613))
(pin "3" (uuid 3f495a91-ab15-47b8-a951-eabbe214eaad))
(pin "4" (uuid 88d91e17-5ccc-40af-9417-4c5c11600c8d))
(pin "5" (uuid 393e445f-2464-41ed-9bb7-7aacac9ed880))
(pin "6" (uuid e531c004-2f8f-4d9e-8c83-25987bfa39be))
(pin "7" (uuid 49cdaa27-ca09-4c2b-ac00-5fb9f7e5b927))
(pin "9" (uuid 1f2dd24b-f6ac-49f4-9c2d-f0735167b958))
(pin "1" (uuid db561510-da35-4cf4-b192-4b4521a4dcc2))
(pin "11" (uuid b18ac7e9-87a2-4c8d-8a4e-e416bd946295))
(pin "12" (uuid 8ddb3192-b2f4-4ba5-a944-544245032ba0))
(pin "13" (uuid adb3c29b-06b0-481d-a275-c03acd4bfd8d))
(pin "14" (uuid 9be6fc3f-b99f-40a0-849f-71be2a61aac7))
(pin "15" (uuid 02d051a0-b754-45f6-8a91-a8c982c12cdf))
(pin "2" (uuid a6b36c9f-b964-489e-a093-3510930923cc))
)
(symbol (lib_id "4xxx_IEEE:4015") (at 308.61 247.65 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23ddb9)
(property "Reference" "USR7" (id 0) (at 308.61 236.0676 0))
(property "Value" "4015" (id 1) (at 308.61 238.379 0))
(property "Footprint" "" (id 2) (at 308.61 247.65 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 308.61 247.65 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "16" (uuid d6bdbde4-192e-4da4-a376-c4ca94e8e1ab))
(pin "8" (uuid 4c09252a-bd8e-412b-8806-a4ae1ebe0124))
(pin "10" (uuid cd217a40-baef-44a9-8729-fae49296a8a1))
(pin "3" (uuid 05c92bce-ecb9-48a6-adfe-0acb4b099300))
(pin "4" (uuid 2619b754-2b33-41d5-93b0-9252787c2298))
(pin "5" (uuid 6b0b98f9-1329-4959-9fa9-ce47c8a5340f))
(pin "6" (uuid 6e486a50-d999-4aee-bba7-861ec61dc67e))
(pin "7" (uuid 9f89f750-4f8d-4be3-a885-9ad73d4a8f80))
(pin "9" (uuid c7bd52e4-0f86-412d-9b98-0e28dc6cf9f2))
(pin "1" (uuid 01083766-867c-49fa-ab74-5c8da9445b01))
(pin "11" (uuid ab7d4c8a-0410-4cdb-b2e7-890d7f8cb76c))
(pin "12" (uuid ca48afb4-962a-402e-af2a-8b63fa14126f))
(pin "13" (uuid 98a4ee20-0e02-419a-ade4-2ba88edc7bb9))
(pin "14" (uuid 53e7b9ab-386e-4703-b7d6-72a153bc24d5))
(pin "15" (uuid e50ab948-28e9-4c29-8c5e-cd9074466990))
(pin "2" (uuid e0cd7977-170e-4f7e-b253-79442d5ef372))
)
(symbol (lib_id "4xxx_IEEE:4015") (at 151.13 219.71 0) (unit 2)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23ddbf)
(property "Reference" "USR7" (id 0) (at 151.13 208.1276 0))
(property "Value" "4015" (id 1) (at 151.13 210.439 0))
(property "Footprint" "" (id 2) (at 151.13 219.71 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 151.13 219.71 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "16" (uuid a633d336-9fc0-45e8-b09d-f4e5971e784e))
(pin "8" (uuid 9af8e75f-9b96-490b-b026-87e1cb0f036d))
(pin "10" (uuid 0cc8c74f-0976-40e6-9473-015fbc770cf8))
(pin "3" (uuid 7fd2f21c-3a24-4752-8cfd-e3303ecc27a2))
(pin "4" (uuid 08ee75a3-e925-4de0-a2ca-0a9d1a1b4d19))
(pin "5" (uuid 34c57817-350a-4691-b454-7695adeefb32))
(pin "6" (uuid 76820e12-bb15-414f-a1d0-2529ad3d0fe5))
(pin "7" (uuid 0684fc8f-cf4d-4477-b07f-3ada9a3df4b0))
(pin "9" (uuid 3f4c074b-5ad4-464e-9e3e-cc68b2dc1e4a))
(pin "1" (uuid 56a81651-8fc8-445c-9b9a-ba0d628de8dc))
(pin "11" (uuid 4844aa4b-71d0-4d27-b8f5-dae03f9eb3bf))
(pin "12" (uuid 412867e5-9497-4b8e-9d84-72f15c5a9e38))
(pin "13" (uuid e82351f0-f51f-470e-b75f-cff6e6c5da93))
(pin "14" (uuid 8612b84d-1ced-469a-969a-410415824355))
(pin "15" (uuid 4bee5892-6b3a-433a-b771-068c80bdc6e8))
(pin "2" (uuid 9f496a98-695e-4c70-85ba-7c6f60ec4dc5))
)
(symbol (lib_id "Device:R_Network09") (at 238.76 429.26 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23f958)
(property "Reference" "RN10K1" (id 0) (at 250.952 428.0916 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "R_Network09" (id 1) (at 250.952 430.403 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Resistor_THT:R_Array_SIP10" (id 2) (at 253.365 429.26 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.vishay.com/docs/31509/csc.pdf" (id 3) (at 238.76 429.26 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid e97caf71-113a-4dcd-87b4-c72eb16fd14c))
(pin "10" (uuid 3b3c64dc-53b8-4838-93ca-7a723e361380))
(pin "2" (uuid 2c25ece7-1c20-4d32-8bf0-c3de8d315267))
(pin "3" (uuid 1dba797c-5d00-45e4-8de5-6890bf9d37b3))
(pin "4" (uuid 916064f7-bf92-46c5-b16d-7ddeb99eda09))
(pin "5" (uuid c0542793-1b1d-461e-8db2-dd298ff05cd0))
(pin "6" (uuid 0d490a03-3c94-48a1-b3b7-e5df239f39a4))
(pin "7" (uuid 1db89208-ae02-47b4-8c20-cf66ffd24cf6))
(pin "8" (uuid 9954fc33-7a17-42b6-9abe-b705e2d84f13))
(pin "9" (uuid 2896f116-3a43-43ed-b55c-1b2ed10a9e15))
)
(symbol (lib_id "Device:R_Network09") (at 293.37 429.26 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23fc68)
(property "Reference" "RN10K2" (id 0) (at 305.562 428.0916 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "R_Network09" (id 1) (at 305.562 430.403 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Resistor_THT:R_Array_SIP10" (id 2) (at 307.975 429.26 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.vishay.com/docs/31509/csc.pdf" (id 3) (at 293.37 429.26 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 60d5e896-17bf-4028-a71b-95ca8bd7022c))
(pin "10" (uuid 9d57a489-6302-4f78-a255-7624b6827e72))
(pin "2" (uuid ba44071f-8674-4dd8-9c54-837bc5bf0ee3))
(pin "3" (uuid 6600f3c3-97be-45b7-8215-b5b1005457ac))
(pin "4" (uuid fe2ea90b-bac4-4034-b397-ae58eac30d24))
(pin "5" (uuid 561df83b-431c-49d7-80fa-8465f4089d05))
(pin "6" (uuid 2c1b1270-3c27-4947-9a8e-3c2277db2f77))
(pin "7" (uuid 219bca98-dfe8-452f-898b-005fe469c3ab))
(pin "8" (uuid de7487bf-e7fa-4ad3-bd09-9e2b17423d89))
(pin "9" (uuid 386d0ead-58d0-4360-80c9-d9015dd18bd6))
)
(symbol (lib_id "Device:R_Network09") (at 337.82 429.26 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23fe1e)
(property "Reference" "RN10K3" (id 0) (at 350.012 428.0916 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "R_Network09" (id 1) (at 350.012 430.403 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Resistor_THT:R_Array_SIP10" (id 2) (at 352.425 429.26 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.vishay.com/docs/31509/csc.pdf" (id 3) (at 337.82 429.26 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 3ecafd4c-38a2-4102-9f7a-5143b5a98403))
(pin "10" (uuid 137923da-40d2-4798-a697-12adfd7bd1ee))
(pin "2" (uuid 5da3570c-3d3a-4a91-aab5-92e9be65b085))
(pin "3" (uuid 28ea92f5-6d53-4dae-9ae3-2ce2e86304be))
(pin "4" (uuid b93f1ee5-1009-4425-b467-e96a933e1fd3))
(pin "5" (uuid 1d229ce5-68e4-4b2c-b7ac-0a8f7eb68f9f))
(pin "6" (uuid a5640318-75f4-488a-9bd6-712484f7f9e8))
(pin "7" (uuid 93a7d1b8-4af2-48a3-b057-5fdd57660035))
(pin "8" (uuid 6a6e50b1-d121-4a6b-a596-f8a47816dc9c))
(pin "9" (uuid adb1a1bc-72f2-4b9c-933d-329680c5ef9e))
)
(symbol (lib_id "Device:R_Network09") (at 383.54 429.26 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23fe24)
(property "Reference" "RN10K4" (id 0) (at 395.732 428.0916 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "R_Network09" (id 1) (at 395.732 430.403 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Resistor_THT:R_Array_SIP10" (id 2) (at 398.145 429.26 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.vishay.com/docs/31509/csc.pdf" (id 3) (at 383.54 429.26 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid d57fa659-aa91-4ef9-9f32-88a8e770992d))
(pin "10" (uuid 7b8b5819-d396-4b34-9690-58cf77e4bed4))
(pin "2" (uuid f2228272-90ce-4713-b248-85601fbf1b3f))
(pin "3" (uuid 0de135b5-3e36-474e-90a1-1d4ac6b48097))
(pin "4" (uuid 1ebb811a-3600-4fbd-a7f1-78b4cf019b62))
(pin "5" (uuid 6fdbca93-b51b-4025-920e-5143ad147afb))
(pin "6" (uuid a7594aa7-ff91-417c-8749-70aad5ba1e56))
(pin "7" (uuid bdbe5b36-52f4-4943-a8d5-3a90fa17f48e))
(pin "8" (uuid f634e481-acf6-4e12-8fe1-ef99863719f7))
(pin "9" (uuid 2c361645-512c-482b-99e6-ba485a1c3eeb))
)
(symbol (lib_id "Device:R_Network09") (at 419.1 429.26 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e23fe64)
(property "Reference" "RN10K5" (id 0) (at 431.292 428.0916 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "R_Network09" (id 1) (at 431.292 430.403 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Resistor_THT:R_Array_SIP10" (id 2) (at 433.705 429.26 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.vishay.com/docs/31509/csc.pdf" (id 3) (at 419.1 429.26 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 755e8dc4-6b2f-458e-9b8e-c31436ffa0c5))
(pin "10" (uuid b209914e-61bd-438f-928e-b3e80fd8e7ed))
(pin "2" (uuid 66b7cfac-1821-4596-aff7-c5aa660a93ec))
(pin "3" (uuid 89ba5efd-3cca-432f-9491-209fec1dda92))
(pin "4" (uuid a8c739d5-8d8d-4cd9-bf9d-2f209237d081))
(pin "5" (uuid 3925a8ef-dac1-45fc-9489-81bfb9b95c6a))
(pin "6" (uuid f9c26747-8365-415f-8f21-af80e03d55f8))
(pin "7" (uuid 5c7f15de-940b-4798-9984-a2be3b8d2b43))
(pin "8" (uuid afa9bdd6-6874-417d-b082-d2824973e015))
(pin "9" (uuid 302b8609-4d4c-4a6f-8053-d2e651ec1bcf))
)
(symbol (lib_id "Device:C") (at 130.81 99.06 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e241490)
(property "Reference" "C1" (id 0) (at 124.4092 99.06 90))
(property "Value" "220n" (id 1) (at 126.7206 99.06 90))
(property "Footprint" "" (id 2) (at 129.8448 95.25 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 130.81 99.06 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 9da385c8-4708-4813-bfb1-be4c27bf5b48))
(pin "2" (uuid 9917e0b9-b502-44ff-89ee-e4a099cf0e58))
)
(symbol (lib_id "Device:C") (at 49.53 63.5 270) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e24194b)
(property "Reference" "C2" (id 0) (at 49.53 57.0992 90))
(property "Value" "220n" (id 1) (at 49.53 59.4106 90))
(property "Footprint" "" (id 2) (at 45.72 64.4652 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 49.53 63.5 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 37403871-0aba-4d40-a6ea-adeec3e46bcc))
(pin "2" (uuid 076deab4-3bed-437b-a8e3-4aafc4bb93a0))
)
(symbol (lib_id "Device:C") (at 123.19 224.79 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e242605)
(property "Reference" "C3" (id 0) (at 126.111 223.6216 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "1n" (id 1) (at 126.111 225.933 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 124.1552 228.6 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 123.19 224.79 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 7a7dd505-bc2c-43c6-96f0-aae1353fe0e2))
(pin "2" (uuid b6f03c09-cde3-4f60-8167-24c2dd4e1d81))
)
(symbol (lib_id "Device:C") (at 330.2 265.43 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e242d67)
(property "Reference" "C4" (id 0) (at 333.121 264.2616 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "1n" (id 1) (at 333.121 266.573 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 331.1652 269.24 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 330.2 265.43 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 815f1923-e22f-4cc5-a83a-9824b6cdc4e0))
(pin "2" (uuid fb9e83ba-156b-447c-8a32-3c9f49d2141f))
)
(symbol (lib_id "Device:C") (at 171.45 233.68 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e243180)
(property "Reference" "C5" (id 0) (at 174.371 232.5116 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "1n" (id 1) (at 174.371 234.823 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 172.4152 237.49 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 171.45 233.68 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid f321e92e-b1a5-4e50-bede-054c6e9cfea5))
(pin "2" (uuid 07088a06-1894-4043-8afd-6bdc057400ce))
)
(symbol (lib_id "Device:C") (at 278.13 252.73 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e243186)
(property "Reference" "C6" (id 0) (at 281.051 251.5616 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "1n" (id 1) (at 281.051 253.873 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 279.0952 256.54 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 278.13 252.73 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 0d190bbe-5f0e-421c-8b81-c626c7654fed))
(pin "2" (uuid 0bab73d0-e049-47cd-8417-9ce9a745be58))
)
(symbol (lib_id "Device:C") (at 260.35 204.47 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e2436dc)
(property "Reference" "C7" (id 0) (at 263.271 203.3016 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "1n" (id 1) (at 263.271 205.613 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 261.3152 208.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 260.35 204.47 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid e30c9b68-da2b-4028-a60a-5e3d90dfe880))
(pin "2" (uuid 71ae60d0-9891-4404-abcf-51479b68f069))
)
(symbol (lib_id "Device:C") (at 433.07 151.13 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e2436e2)
(property "Reference" "C8" (id 0) (at 435.991 149.9616 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "1n" (id 1) (at 435.991 152.273 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 434.0352 154.94 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 433.07 151.13 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 66c4ccd9-8f34-4b19-b7d3-bbee10b38fca))
(pin "2" (uuid b7eb4f8f-cb1f-46c5-a151-471baa53c09b))
)
(symbol (lib_id "Device:C") (at 420.37 243.84 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e2436e8)
(property "Reference" "C9" (id 0) (at 423.291 242.6716 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "1n" (id 1) (at 423.291 244.983 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 421.3352 247.65 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 420.37 243.84 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 9613a055-3839-48ad-82b7-abf795883c36))
(pin "2" (uuid 5f6a25ea-b874-46da-bb5d-dc18f1d8afd7))
)
(symbol (lib_id "Device:C") (at 370.84 246.38 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e2436ee)
(property "Reference" "C10" (id 0) (at 373.761 245.2116 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "1n" (id 1) (at 373.761 247.523 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 371.8052 250.19 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 370.84 246.38 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 5da78c6f-d868-4505-9545-4216dd3babce))
(pin "2" (uuid 160aae02-9ffb-435f-8ead-af0155368f9b))
)
(symbol (lib_id "Device:C") (at 297.18 168.91 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e243b12)
(property "Reference" "C11" (id 0) (at 300.101 167.7416 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "1n" (id 1) (at 300.101 170.053 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 298.1452 172.72 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 297.18 168.91 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 05e6eb4b-2f56-4c66-bf5e-51bd6c80798e))
(pin "2" (uuid 55cbed39-441a-47d6-bc1c-5b2e1ebcd7c7))
)
(symbol (lib_id "Device:C") (at 370.84 184.15 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e243b18)
(property "Reference" "C12" (id 0) (at 373.761 182.9816 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "1n" (id 1) (at 373.761 185.293 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 371.8052 187.96 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 370.84 184.15 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 04c0f500-47b0-4a0b-bfcc-e318150ed8ca))
(pin "2" (uuid ebcf90df-0d76-4db5-a13d-c71d4b6aa0ac))
)
(symbol (lib_id "Device:C") (at 214.63 185.42 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e243b1e)
(property "Reference" "C13" (id 0) (at 217.551 184.2516 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "1n" (id 1) (at 217.551 186.563 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 215.5952 189.23 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 214.63 185.42 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 7cdb451d-1066-4c43-8d86-f471ab761af8))
(pin "2" (uuid 9c33fc0f-062a-4615-9a7d-e98e7d545a62))
)
(symbol (lib_id "Device:C") (at 311.15 93.98 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e243b24)
(property "Reference" "C14" (id 0) (at 314.071 92.8116 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "1n" (id 1) (at 314.071 95.123 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 312.1152 97.79 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 311.15 93.98 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid d7672e1b-1cec-465f-ad2d-bb02bb47e545))
(pin "2" (uuid 80ec3361-29c0-4831-b369-487f24063293))
)
(symbol (lib_id "Device:C") (at 294.64 184.15 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e243b2a)
(property "Reference" "C15" (id 0) (at 291.719 185.3184 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "1n" (id 1) (at 291.719 183.007 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 293.6748 180.34 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 294.64 184.15 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 50f22588-f9a6-4924-a419-40158958fedb))
(pin "2" (uuid 451770a6-e174-466e-96b4-e54e27335cf6))
)
(symbol (lib_id "Device:C") (at 162.56 140.97 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e243b30)
(property "Reference" "C16" (id 0) (at 165.481 139.8016 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "1n" (id 1) (at 165.481 142.113 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 163.5252 144.78 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 162.56 140.97 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 4ce96f59-f431-4993-9ecf-5c50e180ab56))
(pin "2" (uuid f9aeb47f-fd15-4f97-8338-93f90735f01c))
)
(symbol (lib_id "Diode:1N4448") (at 49.53 68.58 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e2452ed)
(property "Reference" "D1" (id 0) (at 50.6984 70.5866 90)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "1N4448" (id 1) (at 48.387 70.5866 90)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Diode_THT:D_DO-35_SOD27_P7.62mm_Horizontal" (id 2) (at 49.53 64.135 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.nxp.com/documents/data_sheet/1N4148_1N4448.pdf" (id 3) (at 49.53 68.58 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 99615cbc-94e5-40b4-95c6-8eb373142b3a))
(pin "2" (uuid 133cfb7c-384d-46fd-87a4-2ef829bac988))
)
(symbol (lib_id "Device:CP") (at 68.58 111.76 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e2454cd)
(property "Reference" "CP1" (id 0) (at 71.5772 110.5916 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "10u" (id 1) (at 71.5772 112.903 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 69.5452 115.57 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 68.58 111.76 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 113efb41-0650-46a1-864c-e9da0955e4f9))
(pin "2" (uuid def6977a-fd8d-4933-a605-ad61fc601680))
)
(symbol (lib_id "Device:CP") (at 43.18 113.03 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e24587d)
(property "Reference" "CP2" (id 0) (at 46.1772 111.8616 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "1u" (id 1) (at 46.1772 114.173 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 44.1452 116.84 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 43.18 113.03 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 25169ab9-d689-4755-9f99-ebfcfb963cd3))
(pin "2" (uuid d778779d-84d0-4c19-9bdc-1c835d9c00ec))
)
(symbol (lib_id "Regulator_Linear:LM7812_TO220") (at 57.15 107.95 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e246055)
(property "Reference" "U1" (id 0) (at 57.15 101.8032 0))
(property "Value" "LM7812_TO220" (id 1) (at 57.15 104.1146 0))
(property "Footprint" "Package_TO_SOT_THT:TO-220-3_Vertical" (id 2) (at 57.15 102.235 0)
(effects (font (size 1.27 1.27) italic) hide)
)
(property "Datasheet" "http://www.fairchildsemi.com/ds/LM/LM7805.pdf" (id 3) (at 57.15 109.22 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid a1f7fd39-e712-4386-835e-0e13f55af20b))
(pin "2" (uuid ac7a8b4f-1352-4616-9bd5-ab1f2d250812))
(pin "3" (uuid 21b59388-062c-4446-8471-0908426c2e31))
)
(symbol (lib_id "Connector_Generic:Conn_02x17_Odd_Even") (at 118.11 53.34 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e24fa7e)
(property "Reference" "ST1" (id 0) (at 119.38 27.5082 0))
(property "Value" "Conn_02x17_Odd_Even" (id 1) (at 119.38 29.8196 0))
(property "Footprint" "" (id 2) (at 118.11 53.34 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 118.11 53.34 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid b1b47fdc-3d43-441e-87dc-8cd71e70fbef))
(pin "10" (uuid de44e4c8-e627-431e-ba87-0f53286b737d))
(pin "11" (uuid c848d8db-d038-4fcb-93a1-8ab48cd92367))
(pin "12" (uuid 6afd3ca0-2ed0-49ce-948a-086db75d14a0))
(pin "13" (uuid 3d8b2863-15ba-4bfc-8114-0069afa67931))
(pin "14" (uuid b94de65d-42bb-403d-b26c-ad2eeba6f625))
(pin "15" (uuid 22b430f1-e9a3-43e0-ad34-20b999eaa694))
(pin "16" (uuid 9419c83f-48f3-4f0c-8c63-024115c8cba6))
(pin "17" (uuid 43c790f0-f224-403d-a8f5-75159f0cc900))
(pin "18" (uuid 9614f64d-9a4a-4ac8-aee2-f06a4f66d54e))
(pin "19" (uuid 6866915c-2d86-4cad-98ae-5e42eeafcdfe))
(pin "2" (uuid 63a9c5b1-0d35-4e3c-8b21-fcf2046bd9c8))
(pin "20" (uuid 9f12acea-7e2a-40f1-9528-3fb8e92f02de))
(pin "21" (uuid 135d42e7-68df-4b57-b85d-22500875612c))
(pin "22" (uuid 7d9c46f3-d7fb-47ed-a475-d259881ceffb))
(pin "23" (uuid 16dcbf71-ab0f-4ab3-be05-fdef0a42a90c))
(pin "24" (uuid e9fd36cc-f870-4837-8e0c-5aae5a1b7c0f))
(pin "25" (uuid 2aa28437-da13-49d0-b3ef-1e32aece2ed2))
(pin "26" (uuid b2bbd843-ae11-4dd8-bbcc-36878ee16b82))
(pin "27" (uuid ca14b4e0-9da1-4c25-bcf2-64fba28c2aee))
(pin "28" (uuid 07c11727-8a7d-41e4-b429-97dc0f91da73))
(pin "29" (uuid ae367c57-68be-4c7c-afb9-67384a3bfca6))
(pin "3" (uuid 53558c54-62c1-4ece-8d6e-cebcfdd4931d))
(pin "30" (uuid 95bc19f0-7345-4837-aa38-7f92ebbdeaa7))
(pin "31" (uuid f17c0611-244d-4817-96df-272c2b31cfba))
(pin "32" (uuid 582b2e0d-b8ca-4a01-97e0-91a7770f5937))
(pin "33" (uuid 9e7815f7-356a-4b24-9ba0-b91ce183955d))
(pin "34" (uuid 282941ad-93cc-475d-92ea-dc04cc6b8198))
(pin "4" (uuid 0de2bef3-9424-41d8-942f-93b4f3079ae5))
(pin "5" (uuid d3792ba7-c441-43d8-b458-cc81f817aa60))
(pin "6" (uuid f438ce0f-298b-4d23-afbe-5e7bf7ce1cf3))
(pin "7" (uuid 1241aeea-b8cb-4d75-8b8e-8121594d9f3b))
(pin "8" (uuid ff9cd009-0b10-46ac-a777-c523d81288d1))
(pin "9" (uuid 8c5ad615-e4e6-4784-8b31-224645ffb293))
)
(symbol (lib_id "Connector_Generic:Conn_01x32") (at 581.66 337.82 270) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e251554)
(property "Reference" "BU1C1" (id 0) (at 580.2884 340.9696 90))
(property "Value" "Conn_01x32" (id 1) (at 580.2884 343.281 90))
(property "Footprint" "" (id 2) (at 581.66 337.82 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 581.66 337.82 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid d5e54d24-df8a-4528-9616-b9adfb60ae59))
(pin "10" (uuid 89b3a4ca-5d11-4d82-b97d-60db7fb44857))
(pin "11" (uuid dfca666c-1b5d-4937-a3b2-94a3f6bca998))
(pin "12" (uuid b1d6abfb-4ec8-4d28-819b-14834b8d0252))
(pin "13" (uuid 6ae423ad-14c5-47f4-88f6-ffa3267ff7c9))
(pin "14" (uuid f5f6cd8e-5a83-423c-86a0-b3c03cef1d90))
(pin "15" (uuid 95d3187c-ac1b-4537-afe7-83a027e00255))
(pin "16" (uuid a7104fcd-7432-4aa8-aa58-4f1ce8dd6b72))
(pin "17" (uuid f78dfd63-915f-441f-a806-2e66f86e9443))
(pin "18" (uuid fa846d38-e730-4d3c-85ea-3f1796dc3646))
(pin "19" (uuid 4c5d6390-e55a-4a09-93dc-44361ad4ee4b))
(pin "2" (uuid 1a364705-534f-4875-bf69-379de7011ac9))
(pin "20" (uuid 4246d919-fb87-4569-bda0-ea5af753d640))
(pin "21" (uuid da80e197-face-4a66-9407-5b33b240a95f))
(pin "22" (uuid 1e8e6197-6565-4cac-a459-e3db53b2756e))
(pin "23" (uuid d00e84f0-6c61-45eb-a4da-132d3348ad7e))
(pin "24" (uuid 9b7a3a31-5ee3-4ff2-a44d-a72268870b80))
(pin "25" (uuid ccc46c84-7bfe-4fea-a893-963667ed1d0f))
(pin "26" (uuid e2d49bee-68d3-4e30-8016-3c028eba9d8e))
(pin "27" (uuid 42b3fc13-cb4c-4c03-b403-8f6144fd61af))
(pin "28" (uuid 40aa2044-0ae9-4716-9c15-81310bc75b22))
(pin "29" (uuid 9ca5b9e3-0cd7-46f8-a258-439da6ba5a47))
(pin "3" (uuid 9cb85c35-2796-4752-8e20-45ca76c4661f))
(pin "30" (uuid 1c010be6-9c7e-4978-b353-2c4eeb2cb2eb))
(pin "31" (uuid 2e6327a3-35fe-438e-8ec1-6e40d1270133))
(pin "32" (uuid d7b70b6c-d5dc-4404-a1fe-96a280b2a528))
(pin "4" (uuid 3c347d80-6bcf-41b5-a713-94b8ccd92330))
(pin "5" (uuid ccb85e90-efe7-480d-a054-1cdc4d7d08f9))
(pin "6" (uuid f6a8b5d0-131d-457f-a035-3557b6b224d5))
(pin "7" (uuid 251710d1-ae3d-4d42-9edd-8d6bfa981b0d))
(pin "8" (uuid 84174664-4c8d-4519-84ef-9654a76a7e2b))
(pin "9" (uuid 0174b2b7-c092-4db4-9f11-d2ee54c7735b))
)
(symbol (lib_id "Connector_Generic:Conn_01x32") (at 581.66 353.06 270) (mirror x) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e251d79)
(property "Reference" "BU1B1" (id 0) (at 580.2884 347.5482 90))
(property "Value" "Conn_01x32" (id 1) (at 580.2884 349.8596 90))
(property "Footprint" "" (id 2) (at 581.66 353.06 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 581.66 353.06 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 0a7ee294-88c6-4c82-ae88-0c26a6c344b2))
(pin "10" (uuid 6b17a832-8278-4eb5-bbf2-671100dd5123))
(pin "11" (uuid 39857b36-d071-41aa-9c99-423fd1b4c089))
(pin "12" (uuid c51e7de9-e8a5-43fe-be43-b151ece27bea))
(pin "13" (uuid 58e9ea1e-cee2-4301-b8b6-e4716cff640a))
(pin "14" (uuid c361cbb1-16d8-47c7-b71b-344f07a39a36))
(pin "15" (uuid 49c623bf-1fd9-4969-9ece-3d01c6e591d8))
(pin "16" (uuid c7a1cb76-f47a-48d4-a879-7f57e0346027))
(pin "17" (uuid beaf503e-5217-4a5f-92f3-759ebad0091e))
(pin "18" (uuid d94876f0-1302-444e-ba16-3a6e74b05463))
(pin "19" (uuid 94c254aa-ea88-46d3-99db-12a390f1e37d))
(pin "2" (uuid 5690e133-f97e-4fa5-88ad-3aea0b01d653))
(pin "20" (uuid 76c429b1-c6d9-451b-b190-5af0015f20e3))
(pin "21" (uuid 790617d7-0908-4772-9fb4-4d8c5877f554))
(pin "22" (uuid ebb53799-58d4-440e-9be2-67e2cdcf138e))
(pin "23" (uuid 3ae1892a-0bb4-4f17-a391-4593a1c74cc5))
(pin "24" (uuid 33177e40-d92a-4598-920c-f32528ccaa75))
(pin "25" (uuid 1840c087-7e91-41f1-a09f-34fbc7881e29))
(pin "26" (uuid 73b342b0-5fd0-4b88-a8a6-d32c3aa065de))
(pin "27" (uuid 72591a7e-b0b4-450d-93c9-7812590b5b70))
(pin "28" (uuid ebfac553-158b-4e7e-99c7-f0ae999a0c21))
(pin "29" (uuid eb323daf-63de-4f8e-b6b7-79dcb435f40b))
(pin "3" (uuid 6bbc0ffb-1253-4f83-a391-18c95b6a41d8))
(pin "30" (uuid 482082a7-06aa-468c-9a02-1da356b8cc5d))
(pin "31" (uuid c43147c8-af0f-4ba4-9502-e276f6d50f7c))
(pin "32" (uuid 130949f7-e809-47d4-ab46-9a4df66934f4))
(pin "4" (uuid 1e60015e-86d5-488e-bea4-5f33cece91c5))
(pin "5" (uuid bb8be41f-7848-4823-bb4b-62af4f26883e))
(pin "6" (uuid 207fdd02-b3ad-4ea6-b089-158657107766))
(pin "7" (uuid 995bbcb1-2d6f-406a-b942-a7d1c2af9a76))
(pin "8" (uuid aff8b9f5-3f9b-4a30-b9c8-5df887394908))
(pin "9" (uuid e1bcaea1-4d02-42cd-995f-b7656dde0a97))
)
(symbol (lib_id "Connector:Conn_01x04_Male") (at 176.53 110.49 270) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e253930)
(property "Reference" "ST3" (id 0) (at 169.2402 112.014 90)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Value" "Conn_01x04_Male" (id 1) (at 169.2402 114.3254 90)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Footprint" "" (id 2) (at 176.53 110.49 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 176.53 110.49 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid e0e02a1a-0611-4e21-8c34-05dca1716a88))
(pin "2" (uuid 59966463-0b10-45e8-a9b5-c4e264c3efd9))
(pin "3" (uuid 80018f1c-498d-400d-b53d-ac9211f487da))
(pin "4" (uuid 61f244ef-2dd2-49a6-bad4-efe4ea44dc3d))
)
(symbol (lib_id "Connector_Generic:Conn_01x01") (at 176.53 133.35 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e25508a)
(property "Reference" "ST2" (id 0) (at 178.562 132.2832 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "Conn_01x01" (id 1) (at 178.562 134.5946 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 176.53 133.35 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 176.53 133.35 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid c6aad22a-0e5e-417f-ae51-db0f3243d83a))
)
(symbol (lib_id "power:VDD") (at 66.04 107.95 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e256505)
(property "Reference" "#PWR01" (id 0) (at 66.04 111.76 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "VDD" (id 1) (at 66.4718 103.5558 0))
(property "Footprint" "" (id 2) (at 66.04 107.95 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 66.04 107.95 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 2bfd9ee4-cd47-464c-9dc3-53c5b3f7f449))
)
(symbol (lib_id "Device:R") (at 171.45 139.7 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e26d935)
(property "Reference" "R1" (id 0) (at 173.228 138.5316 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "220k" (id 1) (at 173.228 140.843 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 169.672 139.7 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 171.45 139.7 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 8a5733c2-4f2c-42ac-a5c1-643877122177))
(pin "2" (uuid a6e730f1-82e7-4b22-b997-99308f64d905))
)
(symbol (lib_id "Device:R") (at 92.71 64.77 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e26dcbc)
(property "Reference" "R2" (id 0) (at 94.488 63.6016 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "220k" (id 1) (at 94.488 65.913 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 90.932 64.77 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 92.71 64.77 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid abbe8ba3-346b-4939-8289-497a4ce54263))
(pin "2" (uuid 132b436e-2fb5-4df8-88c2-5b814fac6f3a))
)
(symbol (lib_id "Device:R") (at 130.81 86.36 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e26de28)
(property "Reference" "R3" (id 0) (at 129.032 87.5284 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "220k" (id 1) (at 129.032 85.217 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 132.588 86.36 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 130.81 86.36 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 49ddbd26-007a-42f1-81b8-effbe50ecd77))
(pin "2" (uuid 6bac7263-8d39-4133-ae4e-f5d669c4de08))
)
(symbol (lib_id "Device:R") (at 53.34 74.93 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e26df70)
(property "Reference" "R4" (id 0) (at 55.118 73.7616 0)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Value" "220k" (id 1) (at 55.118 76.073 0)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Footprint" "" (id 2) (at 55.118 74.93 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 53.34 74.93 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid b4a50db2-9a91-4473-bef3-cea4eeeee432))
(pin "2" (uuid 0b2ec4f3-258e-4999-ac23-27a895c38ce6))
)
(symbol (lib_id "power:VDD") (at 92.71 60.96 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e2a362c)
(property "Reference" "#PWR013" (id 0) (at 92.71 64.77 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "VDD" (id 1) (at 93.1418 56.5658 0))
(property "Footprint" "" (id 2) (at 92.71 60.96 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 92.71 60.96 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 150b3909-faa3-4610-9732-e0ef34c08f39))
)
(symbol (lib_id "power:VSS") (at 50.8 54.61 90) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e2adebe)
(property "Reference" "#PWR012" (id 0) (at 54.61 54.61 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "VSS" (id 1) (at 47.5488 54.1528 90)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 50.8 54.61 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 50.8 54.61 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 404201d4-d1ab-4819-8415-9fa8a893ee0b))
)
(symbol (lib_id "power:VSS") (at 113.03 76.2 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e2b4f21)
(property "Reference" "#PWR016" (id 0) (at 113.03 72.39 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "VSS" (id 1) (at 112.5728 80.5942 0))
(property "Footprint" "" (id 2) (at 113.03 76.2 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 113.03 76.2 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 1ffe0bc9-68c4-4f3c-a649-434e47bfb634))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 477.52 332.74 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e488282)
(property "Reference" "QH1" (id 0) (at 472.6686 333.9084 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD419" (id 1) (at 472.6686 331.597 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 472.44 335.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 477.52 332.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 717f3491-8447-4659-bde2-5276be3ac12d))
(pin "2" (uuid 844a86e4-1cdd-4b74-8799-46a9f67c6e72))
(pin "3" (uuid 1d4618df-ef6b-4963-94af-4d17935ee983))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 477.52 359.41 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e4c01fb)
(property "Reference" "QL1" (id 0) (at 472.6686 358.2416 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD439" (id 1) (at 472.6686 360.553 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 472.44 356.87 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 477.52 359.41 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 1d87b89b-c597-44a8-918b-f66554857a0d))
(pin "2" (uuid 723d6057-cb79-4afb-bbc5-b2d705a0dd24))
(pin "3" (uuid 7a5d4250-b07e-4376-a726-3b37c5bf1d62))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 458.47 332.74 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e4f8600)
(property "Reference" "QH2" (id 0) (at 453.6186 333.9084 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD419" (id 1) (at 453.6186 331.597 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 453.39 335.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 458.47 332.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid c7720c08-5fed-433e-818b-3f3fd9e4f31f))
(pin "2" (uuid bd4c0f29-37fa-4d6b-a190-574479af63f5))
(pin "3" (uuid 7e607138-fa2c-4632-93b7-82e929c43652))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 440.69 332.74 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e50295c)
(property "Reference" "QH3" (id 0) (at 435.8386 333.9084 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD419" (id 1) (at 435.8386 331.597 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 435.61 335.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 440.69 332.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid a818aaeb-76fb-47eb-be7a-cb82d2a36a24))
(pin "2" (uuid 41ac93e6-ca14-4d82-8fed-019abfc5d23d))
(pin "3" (uuid 7c8d18cd-4c70-41b2-a500-65b6a2e35742))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 421.64 332.74 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e502968)
(property "Reference" "QH4" (id 0) (at 416.7886 333.9084 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD419" (id 1) (at 416.7886 331.597 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 416.56 335.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 421.64 332.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 3cd67fb7-b6e8-44fd-bb28-37434d804abf))
(pin "2" (uuid ab65d976-4f9e-4ef1-9809-b62668fe9773))
(pin "3" (uuid d8276a3e-d4b5-4445-bf96-d7f44c169080))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 401.32 332.74 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e50d5b4)
(property "Reference" "QH5" (id 0) (at 396.4686 333.9084 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD419" (id 1) (at 396.4686 331.597 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 396.24 335.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 401.32 332.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 4975289d-00b6-4cd5-aaad-8bf6f74d23bc))
(pin "2" (uuid 9b3d25f2-3a08-480b-a3b7-46cf7e65ffd9))
(pin "3" (uuid 772fbeb1-1cea-47a9-ad85-c6fe125333ac))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 382.27 332.74 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e50d5c0)
(property "Reference" "QH6" (id 0) (at 377.4186 333.9084 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD419" (id 1) (at 377.4186 331.597 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 377.19 335.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 382.27 332.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid da262255-16a5-4dcf-9087-f0048fd5829d))
(pin "2" (uuid 1f484f20-0faa-4e30-8478-759bbfc5279d))
(pin "3" (uuid 21ce2841-ea71-4f48-801b-747d003ed713))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 364.49 332.74 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e50d5cc)
(property "Reference" "QH7" (id 0) (at 359.6386 333.9084 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD419" (id 1) (at 359.6386 331.597 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 359.41 335.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 364.49 332.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 8ffc36d8-7fe8-4bbe-b478-d4733a94130f))
(pin "2" (uuid 6d959cda-b09c-4b57-ad32-e44a90326ec5))
(pin "3" (uuid 7cc9eb3c-34a9-4786-95a7-0ede870949db))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 345.44 332.74 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e50d5d8)
(property "Reference" "QH8" (id 0) (at 340.5886 333.9084 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD419" (id 1) (at 340.5886 331.597 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 340.36 335.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 345.44 332.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 05b919e3-60ba-431f-b66c-19c317cba73c))
(pin "2" (uuid f8ba4bf3-867d-4ec1-9f47-e71118a56915))
(pin "3" (uuid e96b5170-465c-4de5-b001-716f422a1e03))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 326.39 332.74 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e518124)
(property "Reference" "QH9" (id 0) (at 321.5386 333.9084 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD419" (id 1) (at 321.5386 331.597 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 321.31 335.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 326.39 332.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid ad18ed64-b81f-4eaf-bbaa-9e626b9ade70))
(pin "2" (uuid 11c28389-0af1-4e71-8065-fff389f2bb1d))
(pin "3" (uuid 28dbf223-0389-41f3-8723-1fabc548fb24))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 307.34 332.74 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e518130)
(property "Reference" "QHA1" (id 0) (at 302.4886 333.9084 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD419" (id 1) (at 302.4886 331.597 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 302.26 335.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 307.34 332.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 1281c478-2131-489f-bd46-a3182e3206bb))
(pin "2" (uuid a8c8fe94-7e9f-456b-ab0b-42ed75fa4df2))
(pin "3" (uuid 3d64982a-ed28-4634-ba78-ad9e8bea0a07))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 289.56 332.74 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e51813c)
(property "Reference" "QHB1" (id 0) (at 284.7086 333.9084 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD419" (id 1) (at 284.7086 331.597 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 284.48 335.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 289.56 332.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid c4a0501b-0f3c-4558-a455-97fa2bbc7ebd))
(pin "2" (uuid 27a4a719-91f5-4bf0-9d09-4b27c4a25773))
(pin "3" (uuid 90df661d-66cd-4956-9dbb-81da1f3bdad2))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 270.51 332.74 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e518148)
(property "Reference" "QHC1" (id 0) (at 265.6586 333.9084 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD419" (id 1) (at 265.6586 331.597 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 265.43 335.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 270.51 332.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid f90f1b41-a2a1-4893-9d20-259bcd5e602e))
(pin "2" (uuid 31f60579-87d1-4ffa-906a-f03d380d10b7))
(pin "3" (uuid 9bc383e8-6ed0-4d3d-adb0-01e240e4b8c9))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 250.19 332.74 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e518154)
(property "Reference" "QHD1" (id 0) (at 245.3386 333.9084 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD419" (id 1) (at 245.3386 331.597 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 245.11 335.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 250.19 332.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 01c2ed70-7ee0-477d-8155-b9b7ad801bcd))
(pin "2" (uuid 9c63a653-10be-4aa7-8178-6d0edfb55b8e))
(pin "3" (uuid 19be8098-43a3-41fb-ad96-56c950c10f46))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 231.14 332.74 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e518160)
(property "Reference" "QHE1" (id 0) (at 226.2886 333.9084 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD419" (id 1) (at 226.2886 331.597 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 226.06 335.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 231.14 332.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 023f3048-77a6-4e0a-8e8e-656da0abcebc))
(pin "2" (uuid 9c14be63-2444-4572-a893-d77148da1797))
(pin "3" (uuid cff28408-2b4f-4e58-9533-5a52fd44c1db))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 213.36 332.74 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e51816c)
(property "Reference" "QHF1" (id 0) (at 208.5086 333.9084 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD419" (id 1) (at 208.5086 331.597 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 208.28 335.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 213.36 332.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 3ba37001-45be-4532-9132-c5738e7fa3fc))
(pin "2" (uuid c2e13317-b684-4969-a18b-b75b6e14f6f0))
(pin "3" (uuid f3a28fc5-baf2-49b6-a0ed-4f8730843758))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 194.31 332.74 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e518178)
(property "Reference" "QHG1" (id 0) (at 189.4586 333.9084 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD419" (id 1) (at 189.4586 331.597 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 189.23 335.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 194.31 332.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid d51aa5a0-26b9-41a4-a0c8-02fd7546d8f1))
(pin "2" (uuid e82b823a-5b55-49e6-9a44-5102986d165f))
(pin "3" (uuid 7ef6b4b4-5840-405b-bf96-cdb17a805b7c))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 173.99 332.74 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e52d246)
(property "Reference" "QHH1" (id 0) (at 169.1386 333.9084 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD419" (id 1) (at 169.1386 331.597 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 168.91 335.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 173.99 332.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid b223e564-121d-44c0-aed4-8066d2e957d4))
(pin "2" (uuid dc9a58f8-b5ad-4189-83d6-db46278a2411))
(pin "3" (uuid b269d7b0-8d74-422c-9678-b992947bdddd))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 154.94 332.74 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e52d252)
(property "Reference" "QHJ1" (id 0) (at 150.0886 333.9084 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD419" (id 1) (at 150.0886 331.597 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 149.86 335.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 154.94 332.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid e74628a2-686e-49aa-815b-ded5d85d8ed1))
(pin "2" (uuid 1abf01fc-2986-466e-badd-37a6fa221946))
(pin "3" (uuid 4d25e366-c80c-43a5-a824-96ba9a18287e))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 135.89 332.74 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e52d25e)
(property "Reference" "QHK1" (id 0) (at 131.0386 333.9084 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD419" (id 1) (at 131.0386 331.597 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 130.81 335.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 135.89 332.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 510ad7c1-1cb5-4786-9824-aa95d5e3afb3))
(pin "2" (uuid 94d140ee-8282-4dc9-8f1a-add1edb699a4))
(pin "3" (uuid 644fbaf8-ce7f-4401-a4ec-8e3fedaca724))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 118.11 332.74 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e52d26a)
(property "Reference" "QHL1" (id 0) (at 113.2586 333.9084 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD419" (id 1) (at 113.2586 331.597 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 113.03 335.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 118.11 332.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid c80413dc-8391-4299-a791-26c79d956621))
(pin "2" (uuid 553a2283-c2a3-4d65-8363-816025f0e220))
(pin "3" (uuid 2a857e05-d0a4-4004-8610-afb11c9aeb74))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 99.06 332.74 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e52d276)
(property "Reference" "QHM1" (id 0) (at 94.2086 333.9084 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD419" (id 1) (at 94.2086 331.597 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 93.98 335.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 99.06 332.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 071f39fa-b93e-47b7-b7b4-1497cf59ffff))
(pin "2" (uuid 22299197-8029-40f5-915f-3094ea3c3317))
(pin "3" (uuid 7cccbfb0-35d7-412b-8247-25e45bc1b7e7))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 78.74 332.74 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e52d282)
(property "Reference" "QHN1" (id 0) (at 73.8886 333.9084 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD419" (id 1) (at 73.8886 331.597 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 73.66 335.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 78.74 332.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 3bcce288-3654-412d-b95a-c153d5090150))
(pin "2" (uuid 608cb15b-3e44-469b-9cc1-275927e278e8))
(pin "3" (uuid 2bf8b567-8792-49fd-b5c3-a2b437f47056))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 59.69 332.74 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e52d28e)
(property "Reference" "QHO1" (id 0) (at 54.8386 333.9084 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD419" (id 1) (at 54.8386 331.597 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 54.61 335.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 59.69 332.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 0bdf33f6-bc5a-4a83-84e7-d8a9aa36e060))
(pin "2" (uuid 273fa4dc-3080-4b85-8344-63012a3cd4dd))
(pin "3" (uuid c0bc1dff-b163-4680-a3e4-610ea027d8f6))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 41.91 332.74 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e52d29a)
(property "Reference" "QHP1" (id 0) (at 37.0586 333.9084 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD419" (id 1) (at 37.0586 331.597 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 36.83 335.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 41.91 332.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 763bbb42-ce36-4639-bc27-bde7ad4ec3ed))
(pin "2" (uuid cf52ccca-3590-4142-8475-4b76ccfb6429))
(pin "3" (uuid 0b32d2ed-52a7-4274-abdf-adbd984c1b70))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 458.47 359.41 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e54f8b8)
(property "Reference" "QL2" (id 0) (at 453.6186 358.2416 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD439" (id 1) (at 453.6186 360.553 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 453.39 356.87 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 458.47 359.41 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid a5dc5347-2bd0-4919-b530-ec12bc2aac28))
(pin "2" (uuid 7d34606b-6c40-4e33-8b07-272618c823d5))
(pin "3" (uuid 191e8268-872b-49ee-9766-41da3975bc8f))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 440.69 359.41 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e550969)
(property "Reference" "QL3" (id 0) (at 435.8386 358.2416 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD439" (id 1) (at 435.8386 360.553 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 435.61 356.87 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 440.69 359.41 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid c2bdb5bb-adb7-441d-be8e-0dd784a4e510))
(pin "2" (uuid ab055db9-7a1d-4a3b-bb5a-e414e9d47038))
(pin "3" (uuid f8fd575b-f663-46af-9d7d-7570db1e08b6))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 421.64 359.41 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e55096f)
(property "Reference" "QL4" (id 0) (at 416.7886 358.2416 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD439" (id 1) (at 416.7886 360.553 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 416.56 356.87 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 421.64 359.41 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 5f0a8879-b1bf-487b-81f9-df9838fac4a3))
(pin "2" (uuid f2689259-4194-49db-bebe-e692e8c70c03))
(pin "3" (uuid 333b5290-1e3e-445d-94fd-d311a1f085cc))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 401.32 359.41 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e55b394)
(property "Reference" "QL5" (id 0) (at 396.4686 358.2416 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD439" (id 1) (at 396.4686 360.553 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 396.24 356.87 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 401.32 359.41 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 0b3b4b13-5592-4e6c-8a52-c6e099debd5c))
(pin "2" (uuid dfeaa3ef-4d85-455c-9e92-0642ad8e892c))
(pin "3" (uuid 64325ce3-db22-4311-aac0-efc0d2d473b0))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 382.27 359.41 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e55b39a)
(property "Reference" "QL6" (id 0) (at 377.4186 358.2416 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD439" (id 1) (at 377.4186 360.553 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 377.19 356.87 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 382.27 359.41 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 509dca94-2d34-4b5e-97da-21a417647061))
(pin "2" (uuid c7cd8418-a89a-4228-9532-89abc0d8eaef))
(pin "3" (uuid 9930adc5-75cb-44a1-b719-b12ae2e04c5f))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 364.49 359.41 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e55b3a0)
(property "Reference" "QL7" (id 0) (at 359.6386 358.2416 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD439" (id 1) (at 359.6386 360.553 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 359.41 356.87 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 364.49 359.41 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid d0364e9f-4e64-4d3a-aa0a-40593faaf727))
(pin "2" (uuid 88458104-221e-4e6f-b559-be99b5c2913b))
(pin "3" (uuid b0ff03b8-98bf-48d2-aa2f-4a245c8747e6))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 345.44 359.41 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e55b3a6)
(property "Reference" "QL8" (id 0) (at 340.5886 358.2416 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD439" (id 1) (at 340.5886 360.553 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 340.36 356.87 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 345.44 359.41 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 1c204476-44d9-4758-b48d-c5c6b201ee11))
(pin "2" (uuid 42c70f13-9f32-4fab-8b34-c89b365eac73))
(pin "3" (uuid c89eefc5-7404-434d-b92e-2f91049ac73f))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 326.39 359.41 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e5665b9)
(property "Reference" "QL9" (id 0) (at 321.5386 358.2416 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD439" (id 1) (at 321.5386 360.553 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 321.31 356.87 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 326.39 359.41 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 8525d711-8f5c-4763-964c-1b05725607bb))
(pin "2" (uuid faa93a9a-6b96-4d70-abdf-cd6871f3a14f))
(pin "3" (uuid 510daf64-104d-4f97-8e6b-326a585b7048))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 307.34 359.41 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e5665bf)
(property "Reference" "QLA1" (id 0) (at 302.4886 358.2416 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD439" (id 1) (at 302.4886 360.553 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 302.26 356.87 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 307.34 359.41 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid a1003824-3d24-4ba5-887b-fdfa85f29726))
(pin "2" (uuid f35de17e-a933-495e-94f2-15052edeaf71))
(pin "3" (uuid 0c65e182-c1e1-4bff-a393-99a6d66f408b))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 289.56 359.41 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e5665c5)
(property "Reference" "QLB1" (id 0) (at 284.7086 358.2416 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD439" (id 1) (at 284.7086 360.553 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 284.48 356.87 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 289.56 359.41 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid d01cdc92-b24b-4f19-abb6-7d7db267bcea))
(pin "2" (uuid 8717ffcc-b048-498a-bb1b-194296d1ea40))
(pin "3" (uuid 63adcfc2-6ccc-4b64-a884-416e0160da02))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 270.51 359.41 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e5665cb)
(property "Reference" "QLC1" (id 0) (at 265.6586 358.2416 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD439" (id 1) (at 265.6586 360.553 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 265.43 356.87 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 270.51 359.41 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid b3986963-6e07-4e92-b966-6595ef5e1bfa))
(pin "2" (uuid 2459e140-0462-437e-95aa-77ba1a2adf15))
(pin "3" (uuid 99d8b21d-265b-4bcc-9a16-816cb7b8dee7))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 250.19 359.41 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e5665d1)
(property "Reference" "QLD1" (id 0) (at 245.3386 358.2416 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD439" (id 1) (at 245.3386 360.553 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 245.11 356.87 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 250.19 359.41 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 11c037e7-ec90-4dde-a108-28137fc98a7a))
(pin "2" (uuid 202dd63c-53e7-4f65-993b-8306ca6be78c))
(pin "3" (uuid c25cacb2-18a1-4e75-8415-fd1cc4e04b92))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 231.14 359.41 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e5665d7)
(property "Reference" "QLE1" (id 0) (at 226.2886 358.2416 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD439" (id 1) (at 226.2886 360.553 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 226.06 356.87 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 231.14 359.41 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid c0997918-4b97-468c-9079-fc790e31e5c3))
(pin "2" (uuid 312916e4-9d47-432e-8ea3-288cc27e2e27))
(pin "3" (uuid 05703213-4716-4d94-9d2c-a4786dc65aec))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 213.36 359.41 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e5665dd)
(property "Reference" "QLF1" (id 0) (at 208.5086 358.2416 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD439" (id 1) (at 208.5086 360.553 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 208.28 356.87 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 213.36 359.41 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 841fd8df-71d1-4224-abb3-c58493141079))
(pin "2" (uuid ce217df7-3e38-4ffc-bbe3-0edc27e1ae7b))
(pin "3" (uuid 562c0fa8-4d80-4d50-b64c-1e276e8e361b))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 194.31 359.41 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e5665e3)
(property "Reference" "QLG1" (id 0) (at 189.4586 358.2416 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD439" (id 1) (at 189.4586 360.553 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 189.23 356.87 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 194.31 359.41 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 42050614-0900-463b-9005-9688cb678c5f))
(pin "2" (uuid 109db71b-62f4-4966-b26b-c26fe054e6e6))
(pin "3" (uuid 221ae83d-ce4b-4b7b-a1bd-0faa5cebf97e))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 173.99 359.41 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e570ddc)
(property "Reference" "QLH1" (id 0) (at 169.1386 358.2416 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD439" (id 1) (at 169.1386 360.553 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 168.91 356.87 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 173.99 359.41 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 1760c530-5cc1-4d86-8737-19ef0a85a563))
(pin "2" (uuid 2f452a76-1b57-4f2d-831f-54f6a394f899))
(pin "3" (uuid f1ddab5e-d6d0-400d-8747-b1ad92bf4a96))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 154.94 359.41 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e570de2)
(property "Reference" "QLJ1" (id 0) (at 150.0886 358.2416 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD439" (id 1) (at 150.0886 360.553 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 149.86 356.87 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 154.94 359.41 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid b72c5a36-95b7-4a81-8b01-dd88eb4f031f))
(pin "2" (uuid 7c32adaf-eb96-47d0-b5f2-b6a339bcfb6c))
(pin "3" (uuid a1bfb007-234a-4d03-a09f-8e8d4eeacf36))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 135.89 359.41 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e570de8)
(property "Reference" "QLK1" (id 0) (at 131.0386 358.2416 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD439" (id 1) (at 131.0386 360.553 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 130.81 356.87 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 135.89 359.41 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid d10c5232-4237-4c2a-895a-1f55828f1482))
(pin "2" (uuid aef5b2b3-b334-4719-b967-f7811b5c16f6))
(pin "3" (uuid 6fa02475-0384-4885-9f30-0a900eeb8cf3))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 118.11 359.41 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e570dee)
(property "Reference" "QLL1" (id 0) (at 113.2586 358.2416 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD439" (id 1) (at 113.2586 360.553 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 113.03 356.87 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 118.11 359.41 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 679f7204-c70c-43cf-97a7-ad559c7cb8a4))
(pin "2" (uuid 74be9c7b-2ba0-44f3-a0e5-da8296e45a88))
(pin "3" (uuid 8a6271e4-b2f8-4d3a-a7a8-af6d5cd16a55))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 99.06 359.41 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e570df4)
(property "Reference" "QLM1" (id 0) (at 94.2086 358.2416 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD439" (id 1) (at 94.2086 360.553 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 93.98 356.87 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 99.06 359.41 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 6ea94e18-8800-45c6-9d29-72f73af07dcf))
(pin "2" (uuid 9d0c1229-6e8d-4117-8ebe-46c759d2e4e2))
(pin "3" (uuid 1ed8d98b-7a5a-4523-9dd7-67ff70eb922f))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 78.74 359.41 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e570dfa)
(property "Reference" "QLN1" (id 0) (at 73.8886 358.2416 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD439" (id 1) (at 73.8886 360.553 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 73.66 356.87 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 78.74 359.41 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid ee3b23b7-e417-4759-8ac1-4eb77a07e0e2))
(pin "2" (uuid 14145b49-ae7c-45c0-ac72-7ca9b7f3c00d))
(pin "3" (uuid 2d99672a-7b54-47f9-b2d9-ae9840c061ad))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 59.69 359.41 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e570e00)
(property "Reference" "QLO1" (id 0) (at 54.8386 358.2416 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD439" (id 1) (at 54.8386 360.553 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 54.61 356.87 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 59.69 359.41 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 9219e96a-0a01-48c4-9a92-c16acdc98f9b))
(pin "2" (uuid 7612e270-f3bd-460a-b86c-c6f12fd6bff6))
(pin "3" (uuid ac2902e9-d95e-4e82-bfa5-26f88767c20a))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 41.91 359.41 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e570e06)
(property "Reference" "QLP1" (id 0) (at 37.0586 358.2416 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD439" (id 1) (at 37.0586 360.553 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 36.83 356.87 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 41.91 359.41 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid c15216e5-a7bc-4d20-9456-b502e4902254))
(pin "2" (uuid 7519deb1-065b-4464-bff9-134748eb35ab))
(pin "3" (uuid cb795b4d-3dc6-46cf-8c9d-c9752d236de7))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 495.3 332.74 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e8a18b0)
(property "Reference" "QH0" (id 0) (at 490.4486 331.5716 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD419" (id 1) (at 490.4486 333.883 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 490.22 335.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 495.3 332.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 909a9f2e-3f12-4b0e-8758-f376def38aac))
(pin "2" (uuid 6446dd04-a4d7-41ab-b891-d19c15f57104))
(pin "3" (uuid 0a621cc2-1f5c-4f35-89c9-18a906ad55b3))
)
(symbol (lib_id "Device:Q_NPN_ECB") (at 495.3 359.41 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005e8a18b6)
(property "Reference" "QL0" (id 0) (at 490.4486 360.5784 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "BD439" (id 1) (at 490.4486 358.267 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 490.22 356.87 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 495.3 359.41 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 5cc00215-2914-4316-92cd-cddf41352af7))
(pin "2" (uuid d723f3fa-e690-4a9a-953b-2a089f465ee7))
(pin "3" (uuid cd8159c7-6533-460e-a524-456ad5b6dbd5))
)
(symbol (lib_id "Device:R_Pack05_SIP") (at 474.98 307.34 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005ec2c5da)
(property "Reference" "RN1kH1" (id 0) (at 476.3516 298.6532 0))
(property "Value" "R_Pack05_SIP" (id 1) (at 476.3516 300.9646 0))
(property "Footprint" "Resistor_THT:R_Array_SIP10" (id 2) (at 497.205 307.34 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.vishay.com/docs/31509/csc.pdf" (id 3) (at 474.98 307.34 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 77f39f80-fca3-41b5-b469-c5926d125253))
(pin "10" (uuid 513f7c3d-f3e9-47b8-a3ca-cf2190e6b973))
(pin "2" (uuid 2523324a-ade5-4c85-bd2b-859aa5063a61))
(pin "3" (uuid a8e33943-35d8-4067-ac67-94b78577b2d3))
(pin "4" (uuid 2192543b-1f19-48a7-920c-458cbe5b4470))
(pin "5" (uuid 1e96e02b-d1f4-419f-8b2b-1b8786f33b29))
(pin "6" (uuid fc3ee00c-b7b8-4ba7-b44e-23f5365ce883))
(pin "7" (uuid e75ada94-c3da-4d91-a6a5-cc73686664b5))
(pin "8" (uuid 325cf2a4-77b2-46b4-a4a2-ceda54060c78))
(pin "9" (uuid 881fb5fd-7cd5-4807-9d6c-9ed96a53576f))
)
(symbol (lib_id "Device:R_Pack05_SIP") (at 387.35 307.34 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005ecc191e)
(property "Reference" "RN1kH2" (id 0) (at 388.7216 298.6532 0))
(property "Value" "R_Pack05_SIP" (id 1) (at 388.7216 300.9646 0))
(property "Footprint" "Resistor_THT:R_Array_SIP10" (id 2) (at 409.575 307.34 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.vishay.com/docs/31509/csc.pdf" (id 3) (at 387.35 307.34 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid b3fc600d-87a9-46db-91a2-e72c2873a845))
(pin "10" (uuid cd433ccd-dd69-48f8-9ed7-292dbeb1eed1))
(pin "2" (uuid 85cec967-3831-4b90-8fe8-ae4b0fa7d9c6))
(pin "3" (uuid 252033e0-8b0c-4fb6-9eb3-8fa02dc54d11))
(pin "4" (uuid 171c81f5-4950-4b55-9bdb-0f255d99f188))
(pin "5" (uuid eede874a-e304-43d2-91a9-af1931a2bfea))
(pin "6" (uuid 0a37c5b7-ed95-40b1-9270-7c948005b1b2))
(pin "7" (uuid ffbda23c-0339-4fe3-98fc-13020b24df68))
(pin "8" (uuid 7014f425-06cc-4601-8a19-40c7509db974))
(pin "9" (uuid b6161f2e-7268-43c0-aa50-1c2575ae586f))
)
(symbol (lib_id "Device:R_Pack05_SIP") (at 293.37 307.34 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005ecc1a66)
(property "Reference" "RN1kH3" (id 0) (at 294.7416 298.6532 0))
(property "Value" "R_Pack05_SIP" (id 1) (at 294.7416 300.9646 0))
(property "Footprint" "Resistor_THT:R_Array_SIP10" (id 2) (at 315.595 307.34 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.vishay.com/docs/31509/csc.pdf" (id 3) (at 293.37 307.34 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 2b6fc195-d655-4c7e-9490-2af288c0f574))
(pin "10" (uuid 4ac6bd4a-9afc-454e-b6f3-11ca777fc4ec))
(pin "2" (uuid b463f65c-8921-4901-abb1-f4c70bc7efe8))
(pin "3" (uuid ac18166f-bb66-4db7-b356-9d98d472a57c))
(pin "4" (uuid 8770d09e-4634-4b01-adda-c140ff050901))
(pin "5" (uuid ea05f697-d9aa-459a-811b-0d351998e19b))
(pin "6" (uuid ef40b17d-8216-40b4-93e6-cf596c838ff2))
(pin "7" (uuid a9cd5ef7-1f5b-4ce3-9400-94f7c3ff4630))
(pin "8" (uuid 7fe78f04-cd70-4465-bbc7-cbb2c979ff4a))
(pin "9" (uuid b38625bf-f726-4eae-b554-fcff0a7f8573))
)
(symbol (lib_id "Device:R_Pack05_SIP") (at 194.31 307.34 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005ecc2008)
(property "Reference" "RN1kH4" (id 0) (at 195.6816 298.6532 0))
(property "Value" "R_Pack05_SIP" (id 1) (at 195.6816 300.9646 0))
(property "Footprint" "Resistor_THT:R_Array_SIP10" (id 2) (at 216.535 307.34 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.vishay.com/docs/31509/csc.pdf" (id 3) (at 194.31 307.34 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 4740cc75-4717-4c4f-8c0d-4cb2a642c90a))
(pin "10" (uuid 5e83de6f-d15c-46dd-9674-af376b3be11d))
(pin "2" (uuid 025cdd95-c5ec-46ed-aeeb-7778a85f39f2))
(pin "3" (uuid 2f1515da-d4bd-4cb6-a6a8-5138eefdd48d))
(pin "4" (uuid 282927a1-2e13-4048-889d-b77acfb0880c))
(pin "5" (uuid df3618ed-50d3-4863-9208-ee380341c922))
(pin "6" (uuid a629829c-4635-4918-a0bb-0fe7d0cf6afd))
(pin "7" (uuid dd2a6ad9-6d5a-4c99-b738-b8e199acc666))
(pin "8" (uuid 32380d33-c4bc-4b21-9910-83df35501e89))
(pin "9" (uuid a8cb4243-d9e2-484f-9920-fd39587cc71f))
)
(symbol (lib_id "Device:R_Pack05_SIP") (at 102.87 307.34 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005ecc2148)
(property "Reference" "RN1kH5" (id 0) (at 104.2416 298.6532 0))
(property "Value" "R_Pack05_SIP" (id 1) (at 104.2416 300.9646 0))
(property "Footprint" "Resistor_THT:R_Array_SIP10" (id 2) (at 125.095 307.34 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.vishay.com/docs/31509/csc.pdf" (id 3) (at 102.87 307.34 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid a6d7967d-f610-45ff-a054-cf88f9a59b1d))
(pin "10" (uuid 9060a8d8-d7ba-45d4-9acf-a8c2a0bb86b3))
(pin "2" (uuid 37e24b65-62c8-4030-bef4-b6f152e26d77))
(pin "3" (uuid 5c0709c6-fa7b-425f-83dc-985f6292cac0))
(pin "4" (uuid b3d1cc3d-2976-413b-86fb-34f3cfa2c5fc))
(pin "5" (uuid 43f4f956-927f-4fed-83e1-f3809d880de4))
(pin "6" (uuid 4c2e0dc6-9290-4e1a-9536-b603413f900c))
(pin "7" (uuid 985bfb4e-bef2-4f6c-ae54-71e7b5a095af))
(pin "8" (uuid 60330e06-a31f-4263-a188-511bfa782020))
(pin "9" (uuid 98f01e19-beb9-4d14-84ba-66238c5375e1))
)
(symbol (lib_id "Device:R_Pack05_SIP") (at 474.98 388.62 0) (mirror x) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005ecc2708)
(property "Reference" "RN1kL1" (id 0) (at 476.3516 394.97 0))
(property "Value" "R_Pack05_SIP" (id 1) (at 476.3516 397.2814 0))
(property "Footprint" "Resistor_THT:R_Array_SIP10" (id 2) (at 497.205 388.62 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.vishay.com/docs/31509/csc.pdf" (id 3) (at 474.98 388.62 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 356c9688-7afb-43c7-84ee-c68da5d252c7))
(pin "10" (uuid df5520e3-3934-44c2-91ac-f9b3a3ed5169))
(pin "2" (uuid 9170ef83-a46e-432e-b0a2-d3e9a0050f65))
(pin "3" (uuid 465379be-f1c0-4e3c-af01-1fa09ab70971))
(pin "4" (uuid 8015f675-3062-4b78-b4f6-4e73bc8d0299))
(pin "5" (uuid fadbd042-e985-43ea-b42a-9d62c59c1777))
(pin "6" (uuid 578e4767-91d6-4471-8d06-1c42c734724c))
(pin "7" (uuid c839b541-5f15-4bff-8881-7c03afd82c4e))
(pin "8" (uuid f2c57594-f76e-431a-8df4-b73c4817b41b))
(pin "9" (uuid bf23c7fc-87b7-4bf6-ba27-41e2966aed6c))
)
(symbol (lib_id "Device:R_Pack05_SIP") (at 383.54 388.62 0) (mirror x) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005ecc2a14)
(property "Reference" "RN1kL2" (id 0) (at 384.9116 394.97 0))
(property "Value" "R_Pack05_SIP" (id 1) (at 384.9116 397.2814 0))
(property "Footprint" "Resistor_THT:R_Array_SIP10" (id 2) (at 405.765 388.62 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.vishay.com/docs/31509/csc.pdf" (id 3) (at 383.54 388.62 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 5821c825-21e1-4703-90bd-e84acda0d1b2))
(pin "10" (uuid 0daece25-b571-4e1e-8cb4-f2a9dfc2872b))
(pin "2" (uuid fefaf4ce-035c-4369-8d01-b988fb31214b))
(pin "3" (uuid e9306d17-673c-4f61-9462-fdbbdb029457))
(pin "4" (uuid e7f2e19d-02a3-46dd-a311-25977a0f9b34))
(pin "5" (uuid 15a433c4-0335-46e4-884c-814357806383))
(pin "6" (uuid d4570b72-0cbc-4d5e-90a4-a03b03a10976))
(pin "7" (uuid 49fc35d4-d0a3-4185-b3cd-529921660163))
(pin "8" (uuid 598788b1-6cd1-4871-82cc-13ccb990f656))
(pin "9" (uuid 1448e2ee-4843-4488-a564-02c4d7b156d2))
)
(symbol (lib_id "Device:R_Pack05_SIP") (at 290.83 388.62 0) (mirror x) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005ecc2b6c)
(property "Reference" "RN1kL3" (id 0) (at 292.2016 394.97 0))
(property "Value" "R_Pack05_SIP" (id 1) (at 292.2016 397.2814 0))
(property "Footprint" "Resistor_THT:R_Array_SIP10" (id 2) (at 313.055 388.62 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.vishay.com/docs/31509/csc.pdf" (id 3) (at 290.83 388.62 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 165d70f5-7152-4aee-b814-951819458f91))
(pin "10" (uuid d6fcdba8-de0c-4ac1-8c62-210f9c886c9d))
(pin "2" (uuid cbe9827b-8c85-434d-a301-ff3230b0cb19))
(pin "3" (uuid 157e660f-7fa4-4510-8a38-7d57095a6030))
(pin "4" (uuid 99cea1c0-a022-4e43-b0a2-5ab2e7b1d831))
(pin "5" (uuid 35077941-bbfd-48a1-ab0b-a7bcdaa20888))
(pin "6" (uuid 09a2a1d0-6e75-4faa-9297-28554827a01a))
(pin "7" (uuid 72bf6afd-6372-4315-ae6f-fefc6baedf3d))
(pin "8" (uuid f766f4bc-2b5f-4874-89ce-24cea27cc4c8))
(pin "9" (uuid 44d769b4-a3ad-4d31-988d-20e3d11e29a2))
)
(symbol (lib_id "Device:R_Pack05_SIP") (at 199.39 388.62 0) (mirror x) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005ecc30e6)
(property "Reference" "RN1kL4" (id 0) (at 200.7616 394.97 0))
(property "Value" "R_Pack05_SIP" (id 1) (at 200.7616 397.2814 0))
(property "Footprint" "Resistor_THT:R_Array_SIP10" (id 2) (at 221.615 388.62 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.vishay.com/docs/31509/csc.pdf" (id 3) (at 199.39 388.62 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 067c4925-da08-4bce-a655-3213f615493b))
(pin "10" (uuid b5e69404-ce60-4f9a-87fc-8f420cb91fe0))
(pin "2" (uuid ca0aa776-4431-47c5-a06b-b16f64641201))
(pin "3" (uuid d5638da0-b364-4fbb-ba55-96554da9831c))
(pin "4" (uuid d78fa016-64d3-4f57-99aa-64f0a9e5ca55))
(pin "5" (uuid abc73922-114c-4c26-84cb-a94e4a50c887))
(pin "6" (uuid bf696a15-9c84-450f-851b-b7383604588d))
(pin "7" (uuid 215225e5-5efb-4b5e-aa6e-bde6436d1b4e))
(pin "8" (uuid eaa1c3fc-c981-4ca0-856b-27b3aedc77ea))
(pin "9" (uuid 067b7b1d-4f09-4c1f-b82b-c8487a77b7f8))
)
(symbol (lib_id "Device:R_Pack05_SIP") (at 104.14 387.35 0) (mirror x) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005ecc3244)
(property "Reference" "RN1kL5" (id 0) (at 105.5116 393.7 0))
(property "Value" "R_Pack05_SIP" (id 1) (at 105.5116 396.0114 0))
(property "Footprint" "Resistor_THT:R_Array_SIP10" (id 2) (at 126.365 387.35 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.vishay.com/docs/31509/csc.pdf" (id 3) (at 104.14 387.35 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid cdcebde5-dcc7-4dc5-815e-2e20f2433a18))
(pin "10" (uuid f230d6ba-8da4-4caa-89b7-8c6b008665c2))
(pin "2" (uuid 3b0397af-2f4f-4c89-97d7-b9c2c5016851))
(pin "3" (uuid 08f03d48-5d1d-4097-9d61-3170add7380c))
(pin "4" (uuid 0c8b3767-0a26-4908-ac8c-0fec22079f05))
(pin "5" (uuid 946174ab-5ec7-4038-9187-d97c54504ab3))
(pin "6" (uuid 6e5608be-63d4-4d9c-8dca-0bdf86abab47))
(pin "7" (uuid 7c0fbffc-a299-4167-aeac-96a72a33a7c0))
(pin "8" (uuid eabe5a89-6b69-4727-9672-8e28cd307318))
(pin "9" (uuid f88acd13-7fd1-4d36-92b3-526b6221ca2e))
)
(symbol (lib_id "Device:R_Network09") (at 462.28 429.26 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005f8406a0)
(property "Reference" "RN10K6" (id 0) (at 474.472 428.0916 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "R_Network09" (id 1) (at 474.472 430.403 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Resistor_THT:R_Array_SIP10" (id 2) (at 476.885 429.26 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.vishay.com/docs/31509/csc.pdf" (id 3) (at 462.28 429.26 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 9b0e8c96-3417-455d-8d54-a9fe1cf524bd))
(pin "10" (uuid d29ef14c-80d3-40fe-b8b7-f5b51c3105bb))
(pin "2" (uuid f2debd7f-fb17-464c-aa18-25a0a289b647))
(pin "3" (uuid 85a893b4-f296-449f-8e19-4dd6a785e905))
(pin "4" (uuid 75cfb170-d2ef-4d53-af71-307ebbc8223e))
(pin "5" (uuid 572117de-dba8-423c-a384-2d45e7925b85))
(pin "6" (uuid 9440fc45-b67e-439f-9e1c-60dfb854d2e0))
(pin "7" (uuid c31168e8-b53c-42ee-bc5f-4bffcd85a758))
(pin "8" (uuid a75042c7-6e14-4fd1-b2d9-8fe78811a9ee))
(pin "9" (uuid 2235d38d-acbb-4f54-a3eb-40098899d3f6))
)
(symbol (lib_id "power:VDD") (at 297.18 71.12 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00006180a594)
(property "Reference" "#PWR?" (id 0) (at 297.18 74.93 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "VDD" (id 1) (at 297.6118 66.7258 0))
(property "Footprint" "" (id 2) (at 297.18 71.12 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 297.18 71.12 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 597d0f64-297b-4583-9b88-62eacd808909))
)
(symbol (lib_id "power:GNDD") (at 57.15 123.19 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid 1d55870f-0229-4edf-9586-9fb6c49fe34d)
(property "Reference" "#PWR?" (id 0) (at 57.15 129.54 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GNDD" (id 1) (at 57.15 127.635 0))
(property "Footprint" "" (id 2) (at 57.15 123.19 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 57.15 123.19 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 6b760d7e-594c-4224-bac3-14fbd129d6a0))
)
(symbol (lib_id "power:GNDD") (at 43.18 80.01 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid 22c9015b-174f-4644-89df-56478c48f3b6)
(property "Reference" "#PWR?" (id 0) (at 43.18 86.36 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GNDD" (id 1) (at 43.18 84.455 0))
(property "Footprint" "" (id 2) (at 43.18 80.01 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 43.18 80.01 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 7f3a4aed-8dcd-4eab-8102-b0105144e577))
)
(symbol (lib_id "power:GNDD") (at 278.13 256.54 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid 27eb8b62-7658-4b2b-9160-d4271d78fdbe)
(property "Reference" "#PWR?" (id 0) (at 278.13 262.89 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GNDD" (id 1) (at 278.13 260.985 0))
(property "Footprint" "" (id 2) (at 278.13 256.54 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 278.13 256.54 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid ad588838-169c-4580-8d0d-62edc06af4f9))
)
(symbol (lib_id "power:VSS") (at 115.57 91.44 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 4247aa9f-46c5-4215-bd18-d4d21b0849f9)
(property "Reference" "#PWR?" (id 0) (at 115.57 87.63 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "VSS" (id 1) (at 115.1128 95.8342 0))
(property "Footprint" "" (id 2) (at 115.57 91.44 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 115.57 91.44 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid c0c34e5d-6570-4a89-b041-9de589c99b06))
)
(symbol (lib_id "power:GNDD") (at 562.61 180.34 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid 452557b3-0c2a-4771-afba-5f3011d01ced)
(property "Reference" "#PWR?" (id 0) (at 562.61 186.69 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GNDD" (id 1) (at 562.61 184.785 0))
(property "Footprint" "" (id 2) (at 562.61 180.34 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 562.61 180.34 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 3ec24cd7-496e-4d61-997b-799f65428273))
)
(symbol (lib_id "power:GNDD") (at 311.15 97.79 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid 64848982-dafa-4ee8-bac8-e8456cd44dd2)
(property "Reference" "#PWR?" (id 0) (at 311.15 104.14 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GNDD" (id 1) (at 311.15 102.235 0))
(property "Footprint" "" (id 2) (at 311.15 97.79 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 311.15 97.79 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 8125ce8d-da84-41a2-84dd-24dcb4214099))
)
(symbol (lib_id "power:GNDD") (at 53.34 80.01 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid 66e07d00-607e-4fb6-9161-2c67bb348799)
(property "Reference" "#PWR?" (id 0) (at 53.34 86.36 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GNDD" (id 1) (at 53.34 84.455 0))
(property "Footprint" "" (id 2) (at 53.34 80.01 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 53.34 80.01 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 2f3a5c4d-ff4a-4bdf-94ad-598966c2b329))
)
(symbol (lib_id "power:GNDD") (at 162.56 149.86 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid 69048c0c-b3dc-467b-978f-5c4dfae83438)
(property "Reference" "#PWR?" (id 0) (at 162.56 156.21 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GNDD" (id 1) (at 162.56 154.305 0))
(property "Footprint" "" (id 2) (at 162.56 149.86 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 162.56 149.86 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 146446b9-65c5-4163-ab29-76be4ae8df4b))
)
(symbol (lib_id "power:GNDD") (at 401.32 67.31 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid 6d757987-5964-4e97-8fdf-16b208670e31)
(property "Reference" "#PWR?" (id 0) (at 401.32 73.66 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GNDD" (id 1) (at 401.32 71.755 0))
(property "Footprint" "" (id 2) (at 401.32 67.31 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 401.32 67.31 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 3c39a433-0661-40b5-810d-221e739b4e89))
)
(symbol (lib_id "power:GNDD") (at 381 40.64 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid 6e9bb071-4a90-4fab-ab5a-454e64dc5d4f)
(property "Reference" "#PWR?" (id 0) (at 381 46.99 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GNDD" (id 1) (at 381 45.085 0))
(property "Footprint" "" (id 2) (at 381 40.64 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 381 40.64 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 4c748095-76b3-4c33-bb62-fb3b0905687c))
)
(symbol (lib_id "power:GNDD") (at 491.49 135.89 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid 773d2136-bc83-4b78-8132-6a0b364b3931)
(property "Reference" "#PWR?" (id 0) (at 491.49 142.24 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GNDD" (id 1) (at 491.49 140.335 0))
(property "Footprint" "" (id 2) (at 491.49 135.89 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 491.49 135.89 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 944b87fe-2f64-4c84-9aa2-423089a59819))
)
(symbol (lib_id "power:GNDD") (at 297.18 172.72 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid 7b3372bb-34e6-4665-8720-0f431453e14c)
(property "Reference" "#PWR?" (id 0) (at 297.18 179.07 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GNDD" (id 1) (at 297.18 177.165 0))
(property "Footprint" "" (id 2) (at 297.18 172.72 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 297.18 172.72 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid eda41d6d-b680-4f26-a90c-03e006d5a23a))
)
(symbol (lib_id "power:GNDD") (at 494.03 53.34 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid 833b3086-da24-482b-ad71-42e4f526c814)
(property "Reference" "#PWR?" (id 0) (at 494.03 59.69 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GNDD" (id 1) (at 494.03 57.785 0))
(property "Footprint" "" (id 2) (at 494.03 53.34 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 494.03 53.34 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid e65cd003-f8e2-448a-a53f-46b0b53a056a))
)
(symbol (lib_id "power:GNDD") (at 562.61 140.97 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid 84b2db44-bc5d-4155-8603-4028addcb7e8)
(property "Reference" "#PWR?" (id 0) (at 562.61 147.32 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GNDD" (id 1) (at 562.61 145.415 0))
(property "Footprint" "" (id 2) (at 562.61 140.97 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 562.61 140.97 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid ceff1d59-e847-4d2c-8993-ec84f05eb483))
)
(symbol (lib_id "power:GNDD") (at 295.91 123.19 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid 879d1410-ec46-4a15-bea2-cda1745eb834)
(property "Reference" "#PWR?" (id 0) (at 295.91 129.54 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GNDD" (id 1) (at 295.91 127.635 0))
(property "Footprint" "" (id 2) (at 295.91 123.19 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 295.91 123.19 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 2e7e96a8-841e-40b0-a685-2099a175b9fd))
)
(symbol (lib_id "power:GNDD") (at 492.76 93.98 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid 8d3044fe-900a-4fcd-bcbb-f0eda1ce7351)
(property "Reference" "#PWR?" (id 0) (at 492.76 100.33 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GNDD" (id 1) (at 492.76 98.425 0))
(property "Footprint" "" (id 2) (at 492.76 93.98 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 492.76 93.98 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 4872753c-c3b6-4cbe-8ad9-b4ae4d5b3c70))
)
(symbol (lib_id "power:GNDD") (at 433.07 154.94 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid 8fe7bbd4-af72-4e8f-8908-e8c7a7fda31c)
(property "Reference" "#PWR?" (id 0) (at 433.07 161.29 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GNDD" (id 1) (at 433.07 159.385 0))
(property "Footprint" "" (id 2) (at 433.07 154.94 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 433.07 154.94 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 8338d6e6-92bd-4949-9671-3b9658e61070))
)
(symbol (lib_id "power:GNDD") (at 370.84 250.19 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid 905c9dca-3444-4f12-9c1f-5ef36c68074a)
(property "Reference" "#PWR?" (id 0) (at 370.84 256.54 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GNDD" (id 1) (at 370.84 254.635 0))
(property "Footprint" "" (id 2) (at 370.84 250.19 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 370.84 250.19 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 0ae1fbee-ff87-4dc6-9707-c82fa07ed4ae))
)
(symbol (lib_id "power:GNDD") (at 401.32 58.42 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid 911903fa-7ff2-4061-b815-5493005a3b4b)
(property "Reference" "#PWR?" (id 0) (at 401.32 64.77 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GNDD" (id 1) (at 401.32 62.865 0))
(property "Footprint" "" (id 2) (at 401.32 58.42 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 401.32 58.42 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 39916a04-7b72-4c75-afe8-1ff1c48eea76))
)
(symbol (lib_id "power:GNDD") (at 370.84 187.96 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid 917d1dd3-3c0c-404d-b025-95ca3592324d)
(property "Reference" "#PWR?" (id 0) (at 370.84 194.31 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GNDD" (id 1) (at 370.84 192.405 0))
(property "Footprint" "" (id 2) (at 370.84 187.96 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 370.84 187.96 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid dd99b938-d90e-4165-935a-ba651897e2f7))
)
(symbol (lib_id "power:GNDD") (at 171.45 237.49 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid 95ce833e-399f-4284-aaa8-94ee21575dd3)
(property "Reference" "#PWR?" (id 0) (at 171.45 243.84 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GNDD" (id 1) (at 171.45 241.935 0))
(property "Footprint" "" (id 2) (at 171.45 237.49 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 171.45 237.49 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid d1b30665-39fe-4486-81f3-fcd98ef8c42f))
)
(symbol (lib_id "power:GNDD") (at 491.49 176.53 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid ad673a83-4a7c-4963-80a5-e67dcff43325)
(property "Reference" "#PWR?" (id 0) (at 491.49 182.88 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GNDD" (id 1) (at 491.49 180.975 0))
(property "Footprint" "" (id 2) (at 491.49 176.53 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 491.49 176.53 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 9ae12fba-419a-4a0c-a699-1991ab465216))
)
(symbol (lib_id "power:GNDD") (at 214.63 189.23 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid b0d37f43-c66e-464d-91c5-09b0dee6af30)
(property "Reference" "#PWR?" (id 0) (at 214.63 195.58 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GNDD" (id 1) (at 214.63 193.675 0))
(property "Footprint" "" (id 2) (at 214.63 189.23 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 214.63 189.23 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid e026cdc4-e87c-4b49-874a-6d294abb3d3c))
)
(symbol (lib_id "power:GNDD") (at 330.2 269.24 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid b98951ad-3fa8-434a-b7a7-7cb72c7d0a10)
(property "Reference" "#PWR?" (id 0) (at 330.2 275.59 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GNDD" (id 1) (at 330.2 273.685 0))
(property "Footprint" "" (id 2) (at 330.2 269.24 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 330.2 269.24 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid a6a5032d-cc6f-4009-9fb2-204b96520376))
)
(symbol (lib_id "power:GNDD") (at 123.19 228.6 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid c98927f2-4196-4fc7-be4a-4667e210b4a8)
(property "Reference" "#PWR?" (id 0) (at 123.19 234.95 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GNDD" (id 1) (at 123.19 233.045 0))
(property "Footprint" "" (id 2) (at 123.19 228.6 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 123.19 228.6 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 7fc5cb2f-8e61-4446-969c-ec2e7be3de5b))
)
(symbol (lib_id "power:GNDD") (at 294.64 189.23 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid dc6d2cf4-4b3d-43b5-9493-d2d67291d2aa)
(property "Reference" "#PWR?" (id 0) (at 294.64 195.58 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GNDD" (id 1) (at 294.64 193.675 0))
(property "Footprint" "" (id 2) (at 294.64 189.23 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 294.64 189.23 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid e720ceb6-be4b-43b7-a9f6-eccdac3a470b))
)
(symbol (lib_id "power:GNDD") (at 171.45 149.86 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid e13fb4c7-0c5f-4c35-8608-4cbc3214b8d3)
(property "Reference" "#PWR?" (id 0) (at 171.45 156.21 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GNDD" (id 1) (at 171.45 154.305 0))
(property "Footprint" "" (id 2) (at 171.45 149.86 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 171.45 149.86 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 5e4e297b-6137-4815-9b81-4e8b25b583a2))
)
(symbol (lib_id "power:GNDD") (at 401.32 49.53 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid e14b6731-3de4-4bbc-9a0b-76d5e84ba4ed)
(property "Reference" "#PWR?" (id 0) (at 401.32 55.88 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GNDD" (id 1) (at 401.32 53.975 0))
(property "Footprint" "" (id 2) (at 401.32 49.53 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 401.32 49.53 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 923df89c-2a0d-408d-be59-83ccf0879c24))
)
(symbol (lib_id "power:GNDD") (at 561.34 97.79 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid e3a48672-6ec6-4b5b-ad12-5fd5ae8cbe2c)
(property "Reference" "#PWR?" (id 0) (at 561.34 104.14 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GNDD" (id 1) (at 561.34 102.235 0))
(property "Footprint" "" (id 2) (at 561.34 97.79 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 561.34 97.79 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid a122e311-f9b5-4a9b-bd34-3899bf81103f))
)
(symbol (lib_id "power:GNDD") (at 401.32 40.64 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid e960f961-dd93-4349-a472-31050b168251)
(property "Reference" "#PWR?" (id 0) (at 401.32 46.99 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GNDD" (id 1) (at 401.32 45.085 0))
(property "Footprint" "" (id 2) (at 401.32 40.64 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 401.32 40.64 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid b48d49bc-a9da-4c3f-bc52-c282235191b8))
)
(symbol (lib_id "power:GNDD") (at 297.18 83.82 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid ed5b36e3-51c4-4ee4-883b-716781b55798)
(property "Reference" "#PWR?" (id 0) (at 297.18 90.17 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GNDD" (id 1) (at 297.18 88.265 0))
(property "Footprint" "" (id 2) (at 297.18 83.82 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 297.18 83.82 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 148f12c4-11c0-4291-b245-c04151b1adca))
)
(symbol (lib_id "power:GNDD") (at 420.37 247.65 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid f1761c53-1f0e-4c0e-af67-339141232cec)
(property "Reference" "#PWR?" (id 0) (at 420.37 254 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GNDD" (id 1) (at 420.37 252.095 0))
(property "Footprint" "" (id 2) (at 420.37 247.65 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 420.37 247.65 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 6edf69b5-9cd6-4201-ac60-cee97eb706c1))
)
(symbol (lib_id "power:GNDD") (at 260.35 208.28 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid f2722cc5-0f91-4dd5-b78e-af598c9c397a)
(property "Reference" "#PWR?" (id 0) (at 260.35 214.63 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GNDD" (id 1) (at 260.35 212.725 0))
(property "Footprint" "" (id 2) (at 260.35 208.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 260.35 208.28 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid f8dd432a-bfbe-4df5-9777-f575966e80df))
)
(symbol (lib_id "power:VDD") (at 39.37 59.69 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid f4299904-530c-4688-826c-c89df5baf925)
(property "Reference" "#PWR?" (id 0) (at 39.37 63.5 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "VDD" (id 1) (at 39.8018 55.2958 0))
(property "Footprint" "" (id 2) (at 39.37 59.69 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 39.37 59.69 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 9ff03675-e13c-4436-86ae-2e8585abdbd2))
)
(symbol (lib_id "power:GNDD") (at 561.34 54.61 0) (unit 1)
(in_bom yes) (on_board yes) (fields_autoplaced)
(uuid f924fd51-53d5-4309-a92f-c31440306f7a)
(property "Reference" "#PWR?" (id 0) (at 561.34 60.96 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GNDD" (id 1) (at 561.34 59.055 0))
(property "Footprint" "" (id 2) (at 561.34 54.61 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 561.34 54.61 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 138769b6-b5af-45f3-9853-cb2d0b7fdc60))
)
(sheet_instances
(path "/" (page "1"))
)
(symbol_instances
(path "/00000000-0000-0000-0000-00005e256505"
(reference "#PWR01") (unit 1) (value "VDD") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e2adebe"
(reference "#PWR012") (unit 1) (value "VSS") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e2a362c"
(reference "#PWR013") (unit 1) (value "VDD") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e2b4f21"
(reference "#PWR016") (unit 1) (value "VSS") (footprint "")
)
(path "/00000000-0000-0000-0000-00006180a594"
(reference "#PWR?") (unit 1) (value "VDD") (footprint "")
)
(path "/1d55870f-0229-4edf-9586-9fb6c49fe34d"
(reference "#PWR?") (unit 1) (value "GNDD") (footprint "")
)
(path "/22c9015b-174f-4644-89df-56478c48f3b6"
(reference "#PWR?") (unit 1) (value "GNDD") (footprint "")
)
(path "/27eb8b62-7658-4b2b-9160-d4271d78fdbe"
(reference "#PWR?") (unit 1) (value "GNDD") (footprint "")
)
(path "/4247aa9f-46c5-4215-bd18-d4d21b0849f9"
(reference "#PWR?") (unit 1) (value "VSS") (footprint "")
)
(path "/452557b3-0c2a-4771-afba-5f3011d01ced"
(reference "#PWR?") (unit 1) (value "GNDD") (footprint "")
)
(path "/64848982-dafa-4ee8-bac8-e8456cd44dd2"
(reference "#PWR?") (unit 1) (value "GNDD") (footprint "")
)
(path "/66e07d00-607e-4fb6-9161-2c67bb348799"
(reference "#PWR?") (unit 1) (value "GNDD") (footprint "")
)
(path "/69048c0c-b3dc-467b-978f-5c4dfae83438"
(reference "#PWR?") (unit 1) (value "GNDD") (footprint "")
)
(path "/6d757987-5964-4e97-8fdf-16b208670e31"
(reference "#PWR?") (unit 1) (value "GNDD") (footprint "")
)
(path "/6e9bb071-4a90-4fab-ab5a-454e64dc5d4f"
(reference "#PWR?") (unit 1) (value "GNDD") (footprint "")
)
(path "/773d2136-bc83-4b78-8132-6a0b364b3931"
(reference "#PWR?") (unit 1) (value "GNDD") (footprint "")
)
(path "/7b3372bb-34e6-4665-8720-0f431453e14c"
(reference "#PWR?") (unit 1) (value "GNDD") (footprint "")
)
(path "/833b3086-da24-482b-ad71-42e4f526c814"
(reference "#PWR?") (unit 1) (value "GNDD") (footprint "")
)
(path "/84b2db44-bc5d-4155-8603-4028addcb7e8"
(reference "#PWR?") (unit 1) (value "GNDD") (footprint "")
)
(path "/879d1410-ec46-4a15-bea2-cda1745eb834"
(reference "#PWR?") (unit 1) (value "GNDD") (footprint "")
)
(path "/8d3044fe-900a-4fcd-bcbb-f0eda1ce7351"
(reference "#PWR?") (unit 1) (value "GNDD") (footprint "")
)
(path "/8fe7bbd4-af72-4e8f-8908-e8c7a7fda31c"
(reference "#PWR?") (unit 1) (value "GNDD") (footprint "")
)
(path "/905c9dca-3444-4f12-9c1f-5ef36c68074a"
(reference "#PWR?") (unit 1) (value "GNDD") (footprint "")
)
(path "/911903fa-7ff2-4061-b815-5493005a3b4b"
(reference "#PWR?") (unit 1) (value "GNDD") (footprint "")
)
(path "/917d1dd3-3c0c-404d-b025-95ca3592324d"
(reference "#PWR?") (unit 1) (value "GNDD") (footprint "")
)
(path "/95ce833e-399f-4284-aaa8-94ee21575dd3"
(reference "#PWR?") (unit 1) (value "GNDD") (footprint "")
)
(path "/ad673a83-4a7c-4963-80a5-e67dcff43325"
(reference "#PWR?") (unit 1) (value "GNDD") (footprint "")
)
(path "/b0d37f43-c66e-464d-91c5-09b0dee6af30"
(reference "#PWR?") (unit 1) (value "GNDD") (footprint "")
)
(path "/b98951ad-3fa8-434a-b7a7-7cb72c7d0a10"
(reference "#PWR?") (unit 1) (value "GNDD") (footprint "")
)
(path "/c98927f2-4196-4fc7-be4a-4667e210b4a8"
(reference "#PWR?") (unit 1) (value "GNDD") (footprint "")
)
(path "/dc6d2cf4-4b3d-43b5-9493-d2d67291d2aa"
(reference "#PWR?") (unit 1) (value "GNDD") (footprint "")
)
(path "/e13fb4c7-0c5f-4c35-8608-4cbc3214b8d3"
(reference "#PWR?") (unit 1) (value "GNDD") (footprint "")
)
(path "/e14b6731-3de4-4bbc-9a0b-76d5e84ba4ed"
(reference "#PWR?") (unit 1) (value "GNDD") (footprint "")
)
(path "/e3a48672-6ec6-4b5b-ad12-5fd5ae8cbe2c"
(reference "#PWR?") (unit 1) (value "GNDD") (footprint "")
)
(path "/e960f961-dd93-4349-a472-31050b168251"
(reference "#PWR?") (unit 1) (value "GNDD") (footprint "")
)
(path "/ed5b36e3-51c4-4ee4-883b-716781b55798"
(reference "#PWR?") (unit 1) (value "GNDD") (footprint "")
)
(path "/f1761c53-1f0e-4c0e-af67-339141232cec"
(reference "#PWR?") (unit 1) (value "GNDD") (footprint "")
)
(path "/f2722cc5-0f91-4dd5-b78e-af598c9c397a"
(reference "#PWR?") (unit 1) (value "GNDD") (footprint "")
)
(path "/f4299904-530c-4688-826c-c89df5baf925"
(reference "#PWR?") (unit 1) (value "VDD") (footprint "")
)
(path "/f924fd51-53d5-4309-a92f-c31440306f7a"
(reference "#PWR?") (unit 1) (value "GNDD") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e251d79"
(reference "BU1B1") (unit 1) (value "Conn_01x32") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e251554"
(reference "BU1C1") (unit 1) (value "Conn_01x32") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e241490"
(reference "C1") (unit 1) (value "220n") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e24194b"
(reference "C2") (unit 1) (value "220n") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e242605"
(reference "C3") (unit 1) (value "1n") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e242d67"
(reference "C4") (unit 1) (value "1n") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e243180"
(reference "C5") (unit 1) (value "1n") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e243186"
(reference "C6") (unit 1) (value "1n") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e2436dc"
(reference "C7") (unit 1) (value "1n") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e2436e2"
(reference "C8") (unit 1) (value "1n") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e2436e8"
(reference "C9") (unit 1) (value "1n") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e2436ee"
(reference "C10") (unit 1) (value "1n") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e243b12"
(reference "C11") (unit 1) (value "1n") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e243b18"
(reference "C12") (unit 1) (value "1n") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e243b1e"
(reference "C13") (unit 1) (value "1n") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e243b24"
(reference "C14") (unit 1) (value "1n") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e243b2a"
(reference "C15") (unit 1) (value "1n") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e243b30"
(reference "C16") (unit 1) (value "1n") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e2454cd"
(reference "CP1") (unit 1) (value "10u") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e24587d"
(reference "CP2") (unit 1) (value "1u") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e2452ed"
(reference "D1") (unit 1) (value "1N4448") (footprint "Diode_THT:D_DO-35_SOD27_P7.62mm_Horizontal")
)
(path "/00000000-0000-0000-0000-00005e8a18b0"
(reference "QH0") (unit 1) (value "BD419") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e488282"
(reference "QH1") (unit 1) (value "BD419") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e4f8600"
(reference "QH2") (unit 1) (value "BD419") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e50295c"
(reference "QH3") (unit 1) (value "BD419") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e502968"
(reference "QH4") (unit 1) (value "BD419") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e50d5b4"
(reference "QH5") (unit 1) (value "BD419") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e50d5c0"
(reference "QH6") (unit 1) (value "BD419") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e50d5cc"
(reference "QH7") (unit 1) (value "BD419") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e50d5d8"
(reference "QH8") (unit 1) (value "BD419") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e518124"
(reference "QH9") (unit 1) (value "BD419") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e518130"
(reference "QHA1") (unit 1) (value "BD419") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e51813c"
(reference "QHB1") (unit 1) (value "BD419") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e518148"
(reference "QHC1") (unit 1) (value "BD419") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e518154"
(reference "QHD1") (unit 1) (value "BD419") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e518160"
(reference "QHE1") (unit 1) (value "BD419") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e51816c"
(reference "QHF1") (unit 1) (value "BD419") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e518178"
(reference "QHG1") (unit 1) (value "BD419") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e52d246"
(reference "QHH1") (unit 1) (value "BD419") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e52d252"
(reference "QHJ1") (unit 1) (value "BD419") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e52d25e"
(reference "QHK1") (unit 1) (value "BD419") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e52d26a"
(reference "QHL1") (unit 1) (value "BD419") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e52d276"
(reference "QHM1") (unit 1) (value "BD419") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e52d282"
(reference "QHN1") (unit 1) (value "BD419") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e52d28e"
(reference "QHO1") (unit 1) (value "BD419") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e52d29a"
(reference "QHP1") (unit 1) (value "BD419") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e8a18b6"
(reference "QL0") (unit 1) (value "BD439") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e4c01fb"
(reference "QL1") (unit 1) (value "BD439") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e54f8b8"
(reference "QL2") (unit 1) (value "BD439") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e550969"
(reference "QL3") (unit 1) (value "BD439") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e55096f"
(reference "QL4") (unit 1) (value "BD439") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e55b394"
(reference "QL5") (unit 1) (value "BD439") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e55b39a"
(reference "QL6") (unit 1) (value "BD439") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e55b3a0"
(reference "QL7") (unit 1) (value "BD439") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e55b3a6"
(reference "QL8") (unit 1) (value "BD439") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e5665b9"
(reference "QL9") (unit 1) (value "BD439") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e5665bf"
(reference "QLA1") (unit 1) (value "BD439") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e5665c5"
(reference "QLB1") (unit 1) (value "BD439") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e5665cb"
(reference "QLC1") (unit 1) (value "BD439") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e5665d1"
(reference "QLD1") (unit 1) (value "BD439") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e5665d7"
(reference "QLE1") (unit 1) (value "BD439") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e5665dd"
(reference "QLF1") (unit 1) (value "BD439") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e5665e3"
(reference "QLG1") (unit 1) (value "BD439") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e570ddc"
(reference "QLH1") (unit 1) (value "BD439") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e570de2"
(reference "QLJ1") (unit 1) (value "BD439") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e570de8"
(reference "QLK1") (unit 1) (value "BD439") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e570dee"
(reference "QLL1") (unit 1) (value "BD439") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e570df4"
(reference "QLM1") (unit 1) (value "BD439") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e570dfa"
(reference "QLN1") (unit 1) (value "BD439") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e570e00"
(reference "QLO1") (unit 1) (value "BD439") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e570e06"
(reference "QLP1") (unit 1) (value "BD439") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e26d935"
(reference "R1") (unit 1) (value "220k") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e26dcbc"
(reference "R2") (unit 1) (value "220k") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e26de28"
(reference "R3") (unit 1) (value "220k") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e26df70"
(reference "R4") (unit 1) (value "220k") (footprint "")
)
(path "/00000000-0000-0000-0000-00005ec2c5da"
(reference "RN1kH1") (unit 1) (value "R_Pack05_SIP") (footprint "Resistor_THT:R_Array_SIP10")
)
(path "/00000000-0000-0000-0000-00005ecc191e"
(reference "RN1kH2") (unit 1) (value "R_Pack05_SIP") (footprint "Resistor_THT:R_Array_SIP10")
)
(path "/00000000-0000-0000-0000-00005ecc1a66"
(reference "RN1kH3") (unit 1) (value "R_Pack05_SIP") (footprint "Resistor_THT:R_Array_SIP10")
)
(path "/00000000-0000-0000-0000-00005ecc2008"
(reference "RN1kH4") (unit 1) (value "R_Pack05_SIP") (footprint "Resistor_THT:R_Array_SIP10")
)
(path "/00000000-0000-0000-0000-00005ecc2148"
(reference "RN1kH5") (unit 1) (value "R_Pack05_SIP") (footprint "Resistor_THT:R_Array_SIP10")
)
(path "/00000000-0000-0000-0000-00005ecc2708"
(reference "RN1kL1") (unit 1) (value "R_Pack05_SIP") (footprint "Resistor_THT:R_Array_SIP10")
)
(path "/00000000-0000-0000-0000-00005ecc2a14"
(reference "RN1kL2") (unit 1) (value "R_Pack05_SIP") (footprint "Resistor_THT:R_Array_SIP10")
)
(path "/00000000-0000-0000-0000-00005ecc2b6c"
(reference "RN1kL3") (unit 1) (value "R_Pack05_SIP") (footprint "Resistor_THT:R_Array_SIP10")
)
(path "/00000000-0000-0000-0000-00005ecc30e6"
(reference "RN1kL4") (unit 1) (value "R_Pack05_SIP") (footprint "Resistor_THT:R_Array_SIP10")
)
(path "/00000000-0000-0000-0000-00005ecc3244"
(reference "RN1kL5") (unit 1) (value "R_Pack05_SIP") (footprint "Resistor_THT:R_Array_SIP10")
)
(path "/00000000-0000-0000-0000-00005e23f958"
(reference "RN10K1") (unit 1) (value "R_Network09") (footprint "Resistor_THT:R_Array_SIP10")
)
(path "/00000000-0000-0000-0000-00005e23fc68"
(reference "RN10K2") (unit 1) (value "R_Network09") (footprint "Resistor_THT:R_Array_SIP10")
)
(path "/00000000-0000-0000-0000-00005e23fe1e"
(reference "RN10K3") (unit 1) (value "R_Network09") (footprint "Resistor_THT:R_Array_SIP10")
)
(path "/00000000-0000-0000-0000-00005e23fe24"
(reference "RN10K4") (unit 1) (value "R_Network09") (footprint "Resistor_THT:R_Array_SIP10")
)
(path "/00000000-0000-0000-0000-00005e23fe64"
(reference "RN10K5") (unit 1) (value "R_Network09") (footprint "Resistor_THT:R_Array_SIP10")
)
(path "/00000000-0000-0000-0000-00005f8406a0"
(reference "RN10K6") (unit 1) (value "R_Network09") (footprint "Resistor_THT:R_Array_SIP10")
)
(path "/00000000-0000-0000-0000-00005e24fa7e"
(reference "ST1") (unit 1) (value "Conn_02x17_Odd_Even") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e25508a"
(reference "ST2") (unit 1) (value "Conn_01x01") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e253930"
(reference "ST3") (unit 1) (value "Conn_01x04_Male") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e246055"
(reference "U1") (unit 1) (value "LM7812_TO220") (footprint "Package_TO_SOT_THT:TO-220-3_Vertical")
)
(path "/00000000-0000-0000-0000-00005e238da8"
(reference "UB1") (unit 1) (value "4049") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e238e1b"
(reference "UB1") (unit 2) (value "4049") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e238eb4"
(reference "UB1") (unit 3) (value "4049") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e238f17"
(reference "UB1") (unit 4) (value "4049") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e238f8f"
(reference "UB1") (unit 5) (value "4049") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e238ffa"
(reference "UB1") (unit 6) (value "4049") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23c7d7"
(reference "UB2") (unit 1) (value "4049") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23c7dd"
(reference "UB2") (unit 2) (value "4049") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23c7e3"
(reference "UB2") (unit 3) (value "4049") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23c7e9"
(reference "UB2") (unit 4) (value "4049") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23c7ef"
(reference "UB2") (unit 5) (value "4049") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23c7f5"
(reference "UB2") (unit 6) (value "4049") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23ca0b"
(reference "UB3") (unit 1) (value "4049") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23ca11"
(reference "UB3") (unit 2) (value "4049") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23ca17"
(reference "UB3") (unit 3) (value "4049") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23ca1d"
(reference "UB3") (unit 4) (value "4049") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23ca23"
(reference "UB3") (unit 5) (value "4049") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23ca29"
(reference "UB3") (unit 6) (value "4049") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23ca2f"
(reference "UB4") (unit 1) (value "4049") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23ca35"
(reference "UB4") (unit 2) (value "4049") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23ca3b"
(reference "UB4") (unit 3) (value "4049") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23ca41"
(reference "UB4") (unit 4) (value "4049") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23ca47"
(reference "UB4") (unit 5) (value "4049") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23ca4d"
(reference "UB4") (unit 6) (value "4049") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23cb97"
(reference "UB5") (unit 1) (value "4049") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23cb9d"
(reference "UB5") (unit 2) (value "4049") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23cba3"
(reference "UB5") (unit 3) (value "4049") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23cba9"
(reference "UB5") (unit 4) (value "4049") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23cbaf"
(reference "UB5") (unit 5) (value "4049") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23cbb5"
(reference "UB5") (unit 6) (value "4049") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e2394a0"
(reference "UFF1") (unit 1) (value "4013") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e239526"
(reference "UFF1") (unit 2) (value "4013") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e2396c4"
(reference "USR1") (unit 1) (value "4015") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e239743"
(reference "USR1") (unit 2) (value "4015") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23d705"
(reference "USR2") (unit 1) (value "4015") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23d70b"
(reference "USR2") (unit 2) (value "4015") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23d8a9"
(reference "USR3") (unit 1) (value "4015") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23d8af"
(reference "USR3") (unit 2) (value "4015") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23d8b5"
(reference "USR4") (unit 1) (value "4015") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23d8bb"
(reference "USR4") (unit 2) (value "4015") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23dda1"
(reference "USR5") (unit 1) (value "4015") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23dda7"
(reference "USR5") (unit 2) (value "4015") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23ddad"
(reference "USR6") (unit 1) (value "4015") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23ddb3"
(reference "USR6") (unit 2) (value "4015") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23ddb9"
(reference "USR7") (unit 1) (value "4015") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23ddbf"
(reference "USR7") (unit 2) (value "4015") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e238b4d"
(reference "UT1") (unit 1) (value "ULN2004A") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e239f89"
(reference "UT2") (unit 1) (value "ULN2004A") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23a024"
(reference "UT3") (unit 1) (value "ULN2004A") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23a0c6"
(reference "UT4") (unit 1) (value "ULN2004A") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23a1a5"
(reference "UT5") (unit 1) (value "ULN2004A") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23a251"
(reference "UT6") (unit 1) (value "ULN2004A") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23a2fa"
(reference "UT7") (unit 1) (value "ULN2004A") (footprint "")
)
(path "/00000000-0000-0000-0000-00005e23a3a6"
(reference "UT8") (unit 1) (value "ULN2004A") (footprint "")
)
)
)